159
10G/25G 高速 Ethernet Subsystem v1.3 製品ガ イ ド Vivado Design Suite PG210 2016 6 8 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資 料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情 報につきましては、必ず最新英語版をご参照ください。

10G/25G 高速 Ethernet Subsystem v1 - Xilinx...10G/25G 高速 Ethernet v1.3 5 PG210 2016 年 6 月 8 日 japan.xilinx.com 第1 章 概要 この製品ガイドは、25G Ethernet Consortium

  • Upload
    others

  • View
    15

  • Download
    0

Embed Size (px)

Citation preview

10G/25G 高速 Ethernet Subsystem v1.3

製品ガイド

Vivado Design Suite

PG210 2016 年 6 月 8 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

10G/25G 高速 Ethernet v1.3 2PG210 2016 年 6 月 8 日 japan.xilinx.com

10G/25G 高速 Ethernet Subsystem v1.3目次

IP の概要

第 1章: 概要機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

第 2章: 製品仕様規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

性能と リ ソース使用状況 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

レイテンシ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10

ポートの説明 – PCS バリ アン ト型 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

レジスタ空間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

第 3章: サブシステムを使用するデザインク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

LogiCORE サンプル デザインのクロ ッキングと リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

IEEE 1588v2 への対応 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

RS-FEC のサポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107

ステータス /制御インターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

Pause 処理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111

オート ネゴシエーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

リ ンク ト レーニング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118

第 4章: デザイン  フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130

第 5章: サンプル デザイン概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131

サンプル デザインの階層 (GT はサンプル デザインに含まれる ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134

ユーザー インターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

デュプレッ クス モードの動作 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

Runtime Switchable モード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

共有ロジッ クのインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140

AXI4-Lite インターフェイスのインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143

10G/25G 高速 Ethernet v1.3 3PG210 2016 年 6 月 8 日 japan.xilinx.com

IEEE Clause 108 (RS-FEC) の統合. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143

第 6章: バッチ モードのテストベンチ

付録 A: 移行およびアップグレード

付録 B: デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147

デバッグ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149

シ ミ ュレーシ ョ ン デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149

ハードウェア デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152

プロ ト コル インターフェイスのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154

付録 C: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

お読みください : 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158

10G/25G 高速 Ethernet v1.3 4PG210 2016 年 6 月 8 日 japan.xilinx.com Production 製品仕様

はじめに

ザイ リ ンクスの 10G/25G Ethernet Subsystem は、 25G Ethernet Consortium で策定された仕様に準拠する物理コーディング サブレイヤー (PCS) と 25G Ethernet Media Access Controller (MAC) を実装します。 MAC と PCS/PMA (物理媒体接続部) の両方、 あるいはスタンドアロンの PCS/PMA と して実装できます。 また、 従来の 10Gb/s 動作にも対応します。

機能

• IEEE 802.3 の第 49 項、 IEEE 802.3by、 および 25G Ethernet Consortium で定義されている 10/25Gb/s 動作のイーサネッ ト仕様に準拠

• Ethernet MAC と PCS/PMA の完全機能と して、 またはスタンドアロンの PCS/PMA と して実装可能

• シンプルなパケッ ト志向のユーザー インターフェイス

• 包括的な統計情報の収集

• 主要機能インジケーターのステータス信号

• ファンクシ ョナル ト ランシーバー ラ ッパー、 IP ネッ トリ ス ト 、 サンプル テス ト スク リプ ト、 および Vivado® Design Suite ツール コンパイル スク リプ ト を含む 上位ラ ッパーを提供

• 10.3125Gb/s または 25.78125Gb/s で動作する BASE-R PCS サブレイヤー

° 第 74 項で定義されている BASE-KR FEC サブレイヤー (オプシ ョ ン)

° オート ネゴシエーシ ョ ン機能 (オプシ ョ ン)

° 第 108 項で定義されている 25G RS-FEC (Reed Solomon Forward Error Correction) サブレイヤー (オプシ ョ ン)

• カスタム プリ アンブル モード

• IEEE 1588 1-step および 2-step タイムスタンプ機能 (オプシ ョ ン)

• 10G と 25G を動作中に切り替え可能

注記 : 25G Ethernet の仕様書にアクセスするために、 25 Gigabit Ethernet Consortium へのメンバー登録を推奨しています。 詳細は、 25G Ethernet Consortium をご覧ください。

IP の概要

この IP コアについて

コアの概要

サポート される

デバイス ファ ミ リ (1)

Zynq UltraScale+ MPSoC

Virtex® UltraScale+™、 Kintex®UltraScale+™

Virtex UltraScale™、 Kintex UltraScale™

サポート される

ユーザー インター

フェイス

AXI、 XGMII、 XXVGMII

リ ソース Performance and Resource Utilization (ウェブ ページ)

コアに含まれるもの

デザイン ファイル 暗号化された RTL (Register Transfer Level)

サンプル デザイン Verilog

テス トベンチ Verilog

制約ファイル ザイ リ ンクス デザイン制約ファイル (.xdc)

シ ミ ュレーシ ョ ン モデル

Verilog

サポート される ソフ ト ウェア ド ラ イバー

なし

テスト済みデザイン  フロー (2)

デザイン入力 Vivado® Design Suite

シ ミ ュレーシ ョ ン

サポート されるシ ミ ュレータについては、

『Vivado Design Suite ユーザー ガイ ド : リリース ノート ガイ ド、 インス トールおよ

びライセンス』 を参照。

合成 Synopsis または Vivado 合成

サポート

ザイ リ ンクス サポート ウェブ ページで提供

注記 :1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

照して ください。

2. サポート されているツールのバージ ョ ンは、

『Vivado Design Suite ユーザー ガイ ド : リ リース ノート ガイ ド、

インス トールおよびライセンス』 を参照してください。

10G/25G 高速 Ethernet v1.3 5PG210 2016 年 6 月 8 日 japan.xilinx.com

第 1章

概要この製品ガイ ドは、 25G Ethernet Consortium [参照 1] で策定されている 10G/25G Ethernet Subsystem の機能について詳し く説明しています。 PCS の機能については、 IEEE Standard 802.3, 2012、 セクシ ョ ン 4、第, 49 項の 「Physical Coding Sublayer (PCS) for 64B/66B, type 10GBASE-R」 [参照 2] で定義されています。 25G 動作の場合、 新の高速シ リ アル トランシーバーを使用して 25.78125Gb/s で動作するシ リ アル インターフェイスを備えるため、 ク ロ ッ ク周波数が増加します。 この低レイテンシ デザインは、 UltraScale™ アーキテクチャ デバイス用に 適化されています。

機能概要

25G でサポート される機能

• 完全な Ethernet MAC と PCS の機能

• 25G Ethernet Consortium 第 3 スケジュールに準拠

• 統計および診断機能

• 非同期ギアボッ クスが有効に設定されたザイ リ ンクスの GTY ト ランシーバーを使用する 66 ビッ トのシ リ アライザー /デシ リ アライザー (SerDes) インターフェイス

• Pause 処理 (IEEE std.802.3 Annex 31D) (プライオ リティ ベースのフロー制御)

• 低レイテンシ

• カスタム プリ アンブル モード と調整可能なフレーム間隔

• 10.3125Gb/s 動作に設定可能 (第 49 項)

10G でサポート される機能

• MAC と PCS の完全機能

• IEEE 802.3 の第 49 項準拠の Base-KR モード

• 統計および診断機能

• 66 ビッ ト SerDes インターフェイス

• カスタム プリ アンブル モード と調整可能なフレーム間隔

オプシ ョ ン機能

• オート ネゴシエーシ ョ ン (第 73 項)

• リ ンク ト レーニング (第 72.6.10 項)

• FEC - 短縮巡回コードを使用 (2112, 2080) (第 74 項)

• RS-FEC (Reed-Solomon FEC) (第 108 項)

• XGMII/XXVGMII インターフェイスを使用する PCS 単独バージョ ン ( 「ポートの説明 – PCS バリアン ト型」 参照)

10G/25G 高速 Ethernet v1.3 6PG210 2016 年 6 月 8 日 japan.xilinx.com

第 1 章 : 概要

• AXI4-Stream インターフェイス

• AXI4-Lite 制御およびステータス インターフェイス

アプリケーシ ョ ン

IEEE Std 802.3 では、LAN (Local Area Network) アプリ ケーシ ョ ン用のさまざまなイーサネッ ト スピードが定義されており、 25Gb/s が 新規格です。 25Gb/s Ethernet レートでデバイス間を相互接続できるよ うになるため、 特に次世代データ センター ネッ ト ワークでは次のよ うなメ リ ッ トがあ り ます。

(i) ラ ッ ク サーバーやブレード サーバーは NIC (ネッ ト ワーク インターフェイス カード ) や LOM (LAN on Motherboard) からの総スループッ ト (シングル レーンは 10Gb/s 以上、 デュアル レーンは 20Gb/s 以上) に対応する必要があるため、 将来的に増加する CPU やス ト レージの帯域幅に対応できる。

(ii) エンドポイン トの帯域幅増加を前提と した場合、TOR (Top-of-Rack) からのアップリ ンクやブレード スイ ッチは 40Gb/s (4 レーン) から 100Gb/s (4 レーン) へ移行する必要がある。 ただし、 理想的には各レーンのブレークアウ ト機能はそのまま維持。

(Iii) 100GBASE-CR4/KR4/SR4/LR4 の適用が予想されているため、 SerDes やケーブリ ング技術はすでに 25Gb/s の物理レーン 、 ツイス ト ペア ケーブル、 ファ イバー ケーブルをサポートするよ う開発/展開されている。

10G/25G 高速 Ethernet v1.3 7PG210 2016 年 6 月 8 日 japan.xilinx.com

第 1 章 : 概要

ライセンスおよび注文情報

ライセンス チェ ッカー

IP にライセンス キーが必要な場合、 そのキーの認証が必要です。 Vivado® デザイン ツールでは、 設計フローにライセンスが必要な IP の使用をゲーティングする、 ライセンス チェッ クポイン トが複数あ り ます。 ライセンス チェッ クが正常に終了する と、 IP の生成が継続されます。 正常に終了しなければ、 IP の生成はエラーとな り停止します。 ライセンス チェッ クポイン トが適用されるのは、 次のツールです。

• Vivado 合成

• Vivado インプリ メンテーシ ョ ン

• write_bitstream (Tcl コンソール コマンド )

重要 : チェッ クポイン トでは、 IP のライセンス レベルは無視されます。 有効なライセンスの有無のみを検証します。IP ラ イセンス レベルは確認しません。

ライセンスの種類

10G/25G Ethernet PCS/PMA (10G/25G BASE‐R)

このザイ リ ンクス IP モジュールは、 ザイ リ ンクス エンドユーザー ライセンス規約のも とザイ リ ンクス Vivado® Design Suite を使用して追加コス ト なしで提供されています。 この IP およびその他のザイ リ ンクス IP モジュールに関する情報は、 IP コアのページから入手できます。 その他のザイ リ ンクス IP モジュールおよびツールの価格および提供状況については、 お近くのザイ リ ンクス販売代理店にお問い合わせください。

詳細は、 「10G/25G Ethernet Subsystem」 ページを参照してください。

スタンドアロン  10G/25G Ethernet MAC および PCS/PMA (10G/25G EMAC + 10G/25G BASE‐R/KR) または 10G/25G BASE‐KR

注記 : 10G/25G Ethernet MAC + BASE-R と 10GBASE-KR/25GBASE-KR IP は、 別々の有償ライセンスが必要です。

これらのザイ リ ンクス IP モジュールは、 ザイ リ ンクス コア ライセンス契約に基づいて提供されます。 このモジュールは、 Vivado Design Suite に付属します。 シ ミ ュレーシ ョ ンおよびハード ウェアでコアのすべての機能を利用するには、 各コアのライセンスをご購入いただく必要があ り ます。 価格および提供状況については、 ザイ リ ンクス販売代理店にお問い合わせください。

詳細は、 「10G/25G Ethernet Subsystem」 ページを参照してください。

10G/25G 高速 Ethernet v1.3 8PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2章

製品仕様図 2-1 に、 GTY ト ランシーバーが含まれていない 10G/25G Ethernet Subsystem のブロ ッ ク図を示します。

1X-Ref Target - Figure 2-1

図 2‐1 : コアのブロック図 

High speed

interface

High speed

interface

Clause 49 RX PCS

Clause 49 TX PCS

RX MAC

TX MAC

status and control

from RX part of SerDes

to TX part of SerDes

10.3125 Gb/s or 25.78125 Gb/s each direction

66RX AXI

TX AXI

status and control

clocks and resets

66

64

64

10G/25G 高速 Ethernet v1.3 9PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

PCS 単独バージ ョ ンのコアも利用できます。 図 2-2 に、 このブロ ッ ク図を示します。

規格10G/25G Ethernet コアは、25G/50G Ethernet Consortium [参照 1] および IEEE Std 802.3 ( IEEE 802.3by を含む) [参照 2] で定義されている規格に準拠するよ うに設計されています。

性能と リソース使用状況リ ソース使用状況の詳細は、 Performance and Resource Utilization (ウェブ ページ) をご覧ください。

X-Ref Target - Figure 2-2

図 2‐2 : PCS 単独コアのブロック図 

RX lane

TX lane

RX PCS 64B66B decode de-scrambling

TX PCS 64B66B encode

scrambling

TX management logic

10.3125 Gb/s or 25.78125 Gb/s

66rx_mii_drx_mii_c

clocks and resets

RX part of

SerDes

TX part of

SerDes

RX management logic

10/25 Gb/s PCS

controlandstatus

66

MAC

tx_mii_dtx_mii_c

XGMII/XXVGMIIinterface

controlandstatus

10G/25G 高速 Ethernet v1.3 10PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

レイテンシ表 2-1 に、 10G/25G IP コアのレイテンシ測定値を示します。

ポートの説明次の表では、 MAC と PCS を統合した 10G/25G Ethernet Subsystem のポートについて説明します。 これらの信号は通常、 wrapper.v 階層にあ り ます。

AXI レジスタ インターフェイスが含まれている場合、 これらのポートの一部はブロードサイ ド バスの代わりに、 レジスタ経由でアクセスされます。

ト ランシーバー インターフェイス

表 2-2 に、10G/25G Ethernet Subsystem のト ランシーバー I/O ポート を示します。各クロ ッ ク ド メ インの詳細は、第 3 章の 「ク ロ ッキング」 を参照してください。

表 2‐1 : レイテンシ

コア レイテンシ (ns) ユーザー バス幅 (ビッ ト ) コア クロック周波数 (MHz)

10G MAC + PCS 115.2 64 156.25

25G MAC + PCS 46.08 64 390.625

表 2‐2 : ト ランシーバー I/O

ポート名 方向 説明 クロック  ド メイン

gt_tx_reset 入力 ギガビッ ト ト ランシーバー (GT) TX のリセッ ト 。 非同期

gt_rx_reset 入力 GT RX リ セッ ト 。 非同期

ctl_gt_reset_all 入力 ト ラシーバー スター ト アップ FSM (有限ステート マシン) のアクテ ィブ High の非同期リセッ ト。 この信号は、10G/25G Ethernet Subsystem 全体の リ セッ ト シーケンスも開始するこ とに留意して ください。

非同期

refclk_n0 入力 SerDes の差動基準クロ ッ ク入力 (負の位相)。 「ク ロ ッキング」参照

refclk_p0 入力 SerDes の差動基準クロ ッ ク入力 (正の位相)。 「ク ロ ッキング」参照

rx_serdes_data_n0 入力 ラインからのシ リ アル データ (差動信号の負の位相)。 「ク ロ ッキング」参照

rx_serdes_data_p0 入力 ラインからのシ リ アル データ (差動信号の正の位相)。 「ク ロ ッキング」参照

tx_serdes_data_n0 出力 ラインへのシ リ アル データ (差動信号の負の位相)。 「ク ロ ッキング」参照

tx_serdes_data_p0 出力 ラインへのシ リ アル データ (差動信号の正の位相)。 「ク ロ ッキング」参照

tx_serdes_clkout 出力 この信号がある場合は、 tx_clk_out と同じです。 「ク ロ ッキング」参照

10G/25G 高速 Ethernet v1.3 11PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

AXI4‐Stream のクロックと リセッ ト

送信 AXI4‐Stream インターフェイス

表 2-4 に、 AXI4-Stream 送信インターフェイスの信号を示します。

データ  レーン  マッピング

データ tx_axis_tdata[63:0] を転送する際、 ポートはレーン 0 ~ レーン 7 に分割されます (表 2-5 参照)。

表 2‐3 : AXI4‐Stream インターフェイス ‐ クロック/リセッ ト信号

ポート名 方向 説明 クロック  ド メイン

rx_clk_out 出力

ローカル バス ク ロ ッ クを受信します。 10G/25G Ethernet Subsystemコアとユーザー側ロジッ ク間のすべての信号はこの信号の立ち上がりエッジに同期します。 AXI4-Stream ク ロ ッ クは 390.625MHz です。 RX FIFO が含まれている場合、 RX AXI4-Stream ク ロ ッ クが入力信号とな り、 tx_clk_out と同等またはそれ以上となり ます。

「ク ロ ッキング」 参照

tx_clk_out 出力

ローカル バス ク ロ ッ クを送信します。 10G/25G Ethernet Subsystemコアとユーザー側ロジッ ク間のすべての信号はこの信号の立ち上がりエッジに同期します。 AXI4-Stream ク ロ ッ クは 390.625MHz です。

「ク ロ ッキング」 参照

rx_reset 入力

RX 回路用のリセッ ト。 この信号はアクティブ High (1 = リセッ ト )で、 clk が安定する まで High に保持してお く 必要があ り ます。rx_reset 入力は、コアによってコア内の適切なクロ ッ ク ド メ インに同期されます。

非同期

tx_reset 入力

TX 回路用のリセッ ト。 この信号はアクティブ High (1 = リセッ ト )で、 clk が安定する まで High に保持してお く 必要があ り ます。tx_reset 入力は、コアによってコア内の適切なクロ ッ ク ド メ インに同期されます。

非同期

表 2‐4 : AXI4‐Stream 送信インターフェイスの信号

信号 方向 説明

tx_axis_tdata[63:0] 入力 AXI4-Stream データ (64 ビッ ト インターフェイス)

tx_axis_tkeep[7:0] 入力 AXI4-Stream データ制御 (64 ビッ ト インターフェイス)

tx_axis_tvalid 入力 AXI4-Stream データ Valid 入力

tx_axis_tuser 入力 AXI4-Stream ユーザー サイ ドバンド インターフェイス。tx_errin 信号と同じです。

1 は不良パケッ ト を受信したこ とを示します。

0 は正常なパケッ ト を受信したこ とを示します。

tx_axis_tlast 入力 イーサネッ ト パケッ トの 後を示す AXI4-Stream 信号。

tx_axis_tready 出力 データ転送開始を示す AXI4-Stream の ACK 信号。

表 2‐5 : tx_axis_tdata レーン

レーン/ tx_axis_tkeep tx_axis_tdata[63:0] ビッ ト

0 7:0

1 15:8

2 23:16

10G/25G 高速 Ethernet v1.3 12PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

通常の送信

図 2-3 に、通常のフレーム転送のタイ ミ ングを示します。ク ライアン トがフレームを送信する と き、tx_axis_tvalidをアサート して、 同じ クロ ッ ク サイ クル内で tx_axis_tdata および tx_axis_tkeep にデータ と制御を配置します。 このデータがコアで受信されて tx_axis_tready がアサート される と、 ク ライアン トは次のサイクルのデータを与える必要があ り ます。 tx_axis_tready がコアによってアサートするまで、 ク ラ イアン トは現在の有効なデータ値を保持する必要があ り ます。 パケッ トの 後では、 tx_axis_tlast が 1 サイクル間アサート されて、 コアに後であるこ とを示します。 tx_axis_tkeep のビッ トが適切に設定されて、 後のデータ転送での有効なバイ ト数を示します。 また、 tx_axis_tuser もアサート されて、 不良パケッ ト を示します。

tx_axis_tlast がディアサート される と、 次に tx_axis_tvalid がアサート されるまで、 すべてのデータ と制御信号は無効と見なされます。

転送の中断

ク ライアン ト インターフェイスでパケッ ト転送を中断するこ とをアンダーランといいます。フレームの書き込みが完了する前に AXI 送信ク ライアン ト インターフェイスの FIFO が空になる と、 アンダーランが生じます。

この際、 次に示す 2 つのいずれかの方法でコアに通知されます。

• tx_axis_tlast が High のと きに tx_axis_tuser を High にディアサートするこ とで、 フレーム転送が中断される明示的なエラー (図 2-5 参照)。

• tx_axis_tlast をアサートせずに tx_axis_tvalid をディアサートするこ とで、 フレーム転送が中断される暗示的なアンダーラン。

3 31:24

4 39:32

5 47:40

6 55:48

7 63:56

表 2‐5 : tx_axis_tdata レーン

レーン/ tx_axis_tkeep tx_axis_tdata[63:0] ビッ ト

X-Ref Target - Figure 2-3

図 2‐3 :通常のフレーム転送 

10G/25G 高速 Ethernet v1.3 13PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

フレーム転送中に、 この 2 つのシナリオのいずれかが生じる と、 コアがデータ ス ト リームにエラー コードを挿入して、 現在のフレームをエラー フレームと してフラグします。 その後、 必要に応じて、 ク ラ イアン トは中断されたフレームを転送するために再度待ち行列に入れます。

受信 AXI4‐Stream インターフェイス

表 2-6 に、 AXI4-Stream 受信インターフェイスの信号を示します。

データ  レーン  マッピング

データ rx_axis_tdata を受信する際、 ポートはレーン 0 ~ レーン 7 に分割されます (表 2-7 参照)。

通常のフレーム受信

図 2-4 に、通常のインバウンド フレーム転送のタイ ミ ングを示します。 ク ライアン トは、常にデータを受信できる状態である必要があ り ます。 コア内には、 受信ク ライアン トのレイテンシを制御するためのバッファーはあ り ません。フレームの受信が開始される と、 連続するク ロ ッ ク サイクルで受信クライアン トにデータが転送されます。

表 2‐6 : AXI4‐Stream 受信インターフェイスの信号

信号 方向 説明

rx_axis_tdata[63:0] 出力 上位層への AXI4-Stream データ信号。

rx_axis_tkeep[7:0] 出力 上位層への AXI4-Stream データ制御信号。

rx_axis_tvalid 出力 AXI4-Stream データ Valid 信号。

rx_axis_tuser 出力 AXI4-Stream ユーザー サイ ドバンド インターフェイス。

1 は不良パケッ ト を受信したこ とを示します。

0 は正常なパケッ ト を受信したこ とを示します。

rx_axis_tlast 出力 パケッ ト終了を示す AXI4-Stream 信号。

表 2‐7 : rx_axis_tkeep Lanes

レーン/rx_axis_tkeep rx_axis_tdata Bits

0 7:0

1 15:8

2 23:16

3 31:24

4 39:32

5 47:40

6 55:48

7 63:56

10G/25G 高速 Ethernet v1.3 14PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

フ レーム受信中は、 rx_axis_tvalid がアサー ト されて、 有効なフ レーム データが ク ラ イ アン ト のrx_axis_tvalid に転送されているこ とを示します。 rx_axis_tlast がアサート される 後のフレーム転送時以外は、 フレーム内のすべてのバイ トが常に有効で、 これはすべての rx_axis_tkeep ビッ トが 1 にセッ ト される ことで示されます。 1 つのフレームの 後のデータの転送時は、 rx_axis_tkeep ビッ トが前述のマッピングを使用して、フレームの 後の有効なバイ ト を示します。イーサネッ ト フレーム データは連続して LSB から受信されるため、後の有効なバイ トの転送は、 常に rx_axis_tdata[7:0] (rx_axis_tkeep[0]) から読み出されます。

すべてのフレーム チェ ッ クが完了している場合のみ、 後のバイ ト転送時に rx_axis_tlast がアサート されて、rx_axis_tuser がディアサート されます。 これは、 フレーム チェッ ク シーケンス (FCS) フ ィールドが受信された後の動作です。 コアは、 rx_axis_tuser 信号をアサート して、 フレームの受信が完了し、 ク ラ イアン ト によるフレーム解析が実行されるこ とを示します。 この信号は、 rx_axis_tlast が 1 サイクル間アサート されて示されるパケッ トの 後も意味します。

エラーがあるフレームの受信

図 2-5 に、 不良フレームを受信した場合 (例 : runt フレームまたは不良 FCS を含むフレーム) を示します。 この場合、不良フレームが受信されて、 フレームの 後でクライアン トに rx_axis_tuser 信号がアサート されます。 その後、ク ライアン トによってこのフレームで転送されたデータが破棄されます。

次のよ うな状況が生じる と、 rx_axis_tlast 信号がアサート され、 rx_axis_tuser は bad_frame を示す 1 に設定されます。

• FCS エラーが発生する。

• パケッ トが 64 バイ ト よ り短い (アンダーサイズ、 フラグメン ト フレーム)。

• プログラムされた MTU (Maximum Transmission Unit) サイズよ り大きいフレーム長が受信され、 MTU Size EnableFrames が有効になっている。

• 受信したいずれの制御フレームも 小フレーム長と一致しない (ただし、 Control Frame Length Check Disable がセッ ト されている場合を除く )。

• XGMII データ ス ト リームにエラー コードが含まれる。

X-Ref Target - Figure 2-4

図 2‐4 :通常のフレーム受信

10G/25G 高速 Ethernet v1.3 15PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

X-Ref Target - Figure 2-5

図 2‐5 : エラーがあるフレームの受信

10G/25G 高速 Ethernet v1.3 16PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

AXI4-Stream の制御およびステータス ポート

表 2‐8 : AXI4‐Stream インターフェイス ‐ TX パスの制御/ステータス信号

ポート名 方向 説明クロック  ド メ イン

ctl_tx_custom_preamble_enable 入力アサート される と、標準プリ アンブルを挿入する代わりにカスタム プリ アンブルと して tx_preamblein を使用できます。

tx_clk_out

tx_preamblein [55:0] 入力

これはカスタム プリ アンブルであ り、データに含まれるのではなく、 個別の入力ポートです。

パケッ トの開始では、 常に有効の必要があ り ます。

tx_clk_out

ctl_tx_ipg_value[3:0] 入力

この信号は、 オプシ ョ ンで使用できます。 ctl_tx_ipg_value は、AXI4-Stream パケッ ト間に挿入される平均的な 小のパケッ ト間ギャ ップ (IPG、バイ ト ) を指定します。有効な値は 8 ~ 12 です。 0 ~ 7 の範囲にプログラムするこ と も可能ですが、 この場合 「 小 IPG」 と見なされ、 Terminate コード ワード IPG のみ挿入されます。 つま り、 アイ ドルが追加されるこ とはなく、 ランダムサイズのパケッ トが送信される と きに約 4 バイ トの IPGを生成します。

tx_clk_out

ctl_tx_enable 入力

TX イネーブル。 この信号のサンプル値が 1 の場合、 データ転送が有効になり ます。 サンプル値が 0 の場合、 コアからはアイドルのみが送信されます。 データ送信先のレシーバー (別のデバイスのレシーバー ) の同期が完了してデータ受信準備ができるまで (すなわちも う一方のデバイスがリモート フォルト条件を送信していないこ とが確認できるまで) この入力を 1 にしないでください。 この条件が満たされない場合、 データが失われる可能性があ り ます。パケッ ト を送信中にこの信号を 0 にすると、 現在のパケッ ト送信が完了した後、 コアはそれ以降のパケッ ト送信を停止します。

tx_clk_out

ctl_tx_send_rfi 入力

RFI (Remote Fault Indication) ワード送信。 この入力のサンプル値が 1 の場合、 TX パスは RFI ワードのみを送信します。 この入力は、 RX パスの同期が完了して リ ン ク パー ト ナーからのデータ受信準備ができるまで 1 にしておいてください。

tx_clk_out

ctl_tx_send_lfi 入力LFI (Local Fault Indication) コード ワード送信。RFI (Remote FaultIndication) よ り優先されます。

tx_clk_out

ctl_tx_send_idle 入力アイ ドル ワード送信。 この入力のサンプル値が 1 の場合、 TXパスはアイ ドル ワードのみを送信します。 通信先デバイスがRFI ワードを送信中は、 この入力を 1 にしてください。

tx_clk_out

ctl_tx_fcs_ins_enable 入力

TX コアによる FCS の挿入を有効にします。 このビッ トが 0 に設定されている と、 コアはパケッ トに FCS を追加しません。 このビッ ト が 1 に設定されている と、 コアは FCS を計算してパケッ トに追加します。 この入力は、 パケッ ト間で動的に変更できません。

tx_clk_out

10G/25G 高速 Ethernet v1.3 17PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ctl_tx_ignore_fcs 入力

AXI4-Stream インターフェ イ スで TX コアによ る FCS エラーチ ェ ッ ク を 有効に し ま す。 こ の入力が影響す る の は、ctl_tx_fcs_ins_enable が Low の場合のみです。 この入力が Lowの場合に不良 FCS を含むパケッ ト が送信される と、 正常なパケッ ト と見なされません。 この入力が High の場合に不良 FCSを含むパケッ トは正常と見なされます。

エラーは stat_tx_bad_fcs および stomped_fcs 信号で報告され、受信したパケッ トがそのまま送信されます。

注記 :統計情報は、 FCS エラーがなかったものと して報告されます。

tx_clk_out

stat_tx_local_fault 出力値が 1 の場合、 受信デコーダーのステート マシンが TX_INITステート である こ と を示します。 この出力はレベル センスです。

tx_clk_out

表 2‐8 : AXI4‐Stream インターフェイス ‐ TX パスの制御/ステータス信号  (続き)

ポート名 方向 説明クロック  ド メ イン

表 2‐9 : AXI4‐Stream インターフェイス ‐ RX パスの制御/ステータス信号

ポート名 方向 説明クロック  ド メイン

rx_preambleout [55:0] 出力これはプリアンブルです。前回リ リースのよ うにデータに含まれるのではなく、 個別の出力となり ます。

rx_clk_out

ctl_rx_enable 入力

RX イネーブル。 通常動作モードでは、 この入力を 1にしておく必要があ り ます。 この入力が 0 の場合、 現在受信中のパケッ トがあれば、 そのパケッ トの受信完了後に RX は PCS に対して受信データの復号を停止させ、 パケッ トの受信を終了します。 このモードでは統計情報は報告されず、 AXI4-Stream インターフェイスはアイ ドルです。

rx_clk_out

ctl_rx_check_preamble 入力この入力がアサー ト される と、 MAC は受信したフレームのプリアンブルをチェッ ク します。

rx_clk_out

ctl_rx_check_sfd 入力この入力がアサート される と、 MAC は受信したフレームの SFD (Start Frame Delimiter) をチェッ クします。

rx_clk_out

ctl_rx_force_resync 入力

RX 強制再同期入力。 この信号は、RX に対して強制的に リ セッ トおよび再同期を実行させるために使用します。 値が 1 なら、 強制的にリセッ ト します。 値が 0なら、 通常動作が許可されます。 この入力は、 通常はLow にしておき、 強制的に実行する際のみパルス (小 1 サイクルのパルス) するよ うにします。

rx_clk_out

ctl_rx_delete_fcs 入力

RX コアによる FCS の除去を有効にします。 このビットが 0 に設定されている と、 コアは受信したパケッ トの FCS を除去しません。このビッ トが 1 に設定されている と、 コアは受信したパケッ ト の FCS を除去します。 8 バイ ト以下の長さのパケッ ト については、 FCSを除去しません。 この入力は、 対応する リセッ ト入力がアサート されている場合にのみ変更可能です。

rx_clk_out

10G/25G 高速 Ethernet v1.3 18PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ctl_rx_ignore_fcs 入力

AXI4-Stream インターフェイスで RX コアによる FCSエラー チェッ クを有効にします。このビッ トが 0 に設定されている と、 FCS エラーのある受信パケッ トは、

後の転送 (rx_eopout と rx_enaout のサンプル値が 1)中に rx_errout ピンをアサート して送信されます。このビッ トが 1 に設定されている と、 コアは AXI4-Streamインターフェイスで FCS エラーを報告しません。

注記 :統計情報は、 正常なパケッ ト と して報告されます。 た

だし信号 stat_rx_bad_fcs でエラーが報告されます。

rx_clk_out

ctl_rx_max_packet_len[14:0] 入力

この値を超える長さのパケッ ト をオーバーサイズ パケッ ト と見なします。 この値を超えるサイズのパケット は、 この値まで切り捨てられ、 rx_eopout 信号がアサート される間 rx_errout 信号がアサート されます。64バイ ト未満のパケッ トは破棄します。

ctl_rx_max_packet_len[14] は予約されており、 0 に設定しておく必要があ り ます。

rx_clk_out

ctl_rx_min_packet_len[7:0] 入力

この値よ り小さいパケッ ト をアンダーサイズ パケット と見なします。パケッ トのサイズがこの値よ り小さい場合、 rx_eopout がアサー ト されるサイ クルの間rx_errout 信号がアサート されます。

rx_clk_out

stat_rx_framing_err[2-1:0] 出力

RX 同期ヘッダー ビッ ト フレーミ ング エラーは、 受信した同期ヘッダー エラー数を示すバスです。このバスの値は、 stat_rx_framing_err_valid が 1 の場合のみ有効です。 これらのバスの値はいつでも更新可能で、 同期ヘッダー エラー カウンター用のインク リ メ ン ト値と して使用します。

rx_clk_out

stat_rx_framing_err_valid 出力stat_rx_framing_err の有効インジケーター。サンプル値が 1 の場合、 stat_rx_framing_err の値が有効です。

rx_clk_out

stat_rx_local_fault 出力stat_rx_internal_local_fault またはstat_rx_received_local_fault がアサート される と、 この出力が High になり ます。 この出力はレベル センスです。

rx_clk_out

stat_rx_block_lock[1-1:0] 出力

ブロ ッ クのロ ッ ク ステータ ス。 値が 1 の場合、 第49.2.14 項および MDIO 3.32.0 の定義に従ってブロ ック ロ ッ クが達成されているこ とを示します。この出力はレベル センスです。

rx_clk_out

stat_rx_remote_fault 出力

リモート フォル ト ステータス。 このビッ トのサンプル値が 1 の場合、リモート フォルト条件が検出されたこ とを示します。このビッ トのサンプル値が 0 の場合、リモート フォルト条件は存在しません。この出力はレベル センスです。

rx_clk_out

stat_rx_bad_fcs[2-1:0] 出力

不正 FCS インジケーター。 このバスの値は、 1 サイクルで受信したパケッ ト の FCS が Stomped FCS ではなく不正な FCS であるこ とを示します。Stomped FCS とは、期待される正常な FCS の各ビッ ト を反転したものと定義されます。 エラー条件が発生する と、 この出力が 1 ク ロ ッ ク サイ クルの間パルスされます。連続するサイクルでパルスするこ と もできます。

rx_clk_out

表 2‐9 : AXI4‐Stream インターフェイス ‐ RX パスの制御/ステータス信号  (続き)

ポート名 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 19PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

その他のステータス/制御信号 

表 2-10 に、 その他のステータス I/O 信号および制御 I//O 信号を示します。

stat_rx_stomped_fcs[2-1:0] 出力

Stomped FCS インジケーター。 このバスの値は、 受信したパケッ ト の FCS が Stomped FCS であるこ とを示します。 Stomped FCS とは、期待される正常な FCS の各ビッ ト を反転したものと定義されます。 Stomped 条件が発生する と、この出力が 1 ク ロ ッ ク サイクルの間パルスされます。連続するサイクルでパルスするこ ともできます。

rx_clk_out

stat_rx_truncated 出力

パケッ ト切り捨てインジケーター。 値が 1 の場合、 現在 転 送 中 の パ ケ ッ ト の 長 さ がctl_rx_max_packet_len[14:0] を超えており、切り捨てられたこ とを示します。 パケッ ト切り捨ての条件が発生すると、 この出力が 1 クロッ ク サイクルの間パルスされます。連続するサイクルでパルスするこ と もできます。

rx_clk_out

stat_rx_internal_local_fault 出力

テス ト パターン生成または高ビッ ト エラー率 (BER)のいずれかによって内部ローカル フォル ト が生成される と、 この信号が High にな り ます。 フォル ト条件が続く間は、 この信号は High のままです。

rx_clk_out

stat_rx_received_local_fault 出力

リ ンク パートナーから十分な数のローカル フォル トワードを受信して、 IEEE フォルト ステート マシンによって指定されたフォル ト条件が ト リ ガーされる と、この信号が High にな り ます。 フォル ト条件が続く間は、 この信号は High のままです。

rx_clk_out

stat_rx_hi_ber 出力

高ビッ ト エラー率 (BER) インジケーター。値が 1 の場合、IEEE Std. 802.3 で定義された高 BER であるこ とを示します。 第 49.2.14 項で定義された MDIO レジスタビッ ト 3.32.1 に対応します。この出力はレベル センスです。

rx_clk_out

ctl_rx_custom_preamble_enable 入力この信号がアサート される と、 AXI4-Stream に現れるパケッ ト のサイ ドバン ド信号がプ リ アンブルにな ります。

rx_clk_out

表 2‐9 : AXI4‐Stream インターフェイス ‐ RX パスの制御/ステータス信号  (続き)

ポート名 方向 説明クロック  ド メイン

表 2‐10 : その他のステータス/制御ポート

ポート名 方向 説明 クロック  ド メイン

dclk 入力

ダイナ ミ ッ ク リ コンフ ィ ギュレーシ ョ ンポート (DRP) のクロ ッ ク入力。周波数要件は、 該当する リ リースの readme ファ イルを参照してください。

「ク ロ ッ キング」 を参照。

stat_rx_valid_ctrl_code 出力有効な制御コード を含む PCS ブロ ッ クが受信されたこ とを示します。

rx_clk_out

10G/25G 高速 Ethernet v1.3 20PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ctl_local_loopback 入力

ループバッ ク イネーブル。 値が 1 の場合、第 49 項で定義されたループバッ クが有効にな り ます。 第 45 項で定義された MDIO(Management Data Input/Output) レジス タビ ッ ト 3.0.14 に対応します。 この入力は、対応する リ セッ ト 入力がアサー ト されている場合にのみ変更可能です。

非同期

stat_rx_got_signal_os 出力

シグナル OS インジケーター。 このビッ トのサンプル値が 1 の場合、 シグナル OSワードを受信したこ と を示します。 通常、イーサネッ ト ネッ ト ワークでシグナル OSを受信するこ とはあ り ません。

rx_clk_out

ctl_rx_process_lfi 入力

この入力が 1 に設定されている場合、 RXコアは ト ランシーバーから LF 制御コードが送信されるこ とを予想し、 それらを処理します。 この入力が 0 に設定されている場合、 RX コアは ト ランシーバーから送信される LF 制御コードを無視します。

rx_clk_out

ctl_rx_test_pattern 入力

RX コアのテス ト パターン チェ ッ ク イネーブル。 値が 1 の場合、 第 49 項で定義されたテス ト モードが有効になり ます。第45 項で定義された MDIO レジスタ ビッ ト3.42.2 に対応します。 スク ランブル アイ ドル パターンをチェッ ク します。

rx_clk_out

ctl_tx_test_pattern 入力

TX コアのテス ト パターン生成イネーブル。 値が 1 の場合、 第 49 項で定義されたテス ト モードが有効になり ます。 第 45 項で定義された MDIO レジス タ ビ ッ ト3.42.3 に対応します。 スク ランブル アイ ドル パターンを生成します。

tx_clk_out

stat_rx_test_pattern_mismatch[1-1:0] 出力

テス ト パターン不一致インク リ メン ト 。任意のサイ クルにおいて、 RX コアで発生したテス ト パターン不一致の発生回数を 0以 外 の 値 で 示 し ま す。 こ の 出 力 は、ctl_rx_test_pattern が 1 の場合のみアクティブです。 この出力を使用して第 45 項で定義された MDIO レジスタを生成できます。この出力は 1 ク ロ ッ ク サイ クルの間、パルスされます。

rx_clk_out

ctl_rx_data_pattern_select 入力 第 45 項で定義された MDIO レジスタ ビット 3.42.0 に対応します。

rx_clk_out

ctl_rx_test_pattern_enable

入力 RX コアのテス ト パターン イネーブル。値が1 の場合、 テス ト モードが有効になります。

第 45 項で定義された MDIO レジスタ ビット 3.42.2 に対応します。 2 番目の優先権を持ちます。

rx_clk_out

ctl_tx_data_pattern_select 入力 第 45 項で定義された MDIO レジスタ ビット 3.42.0 に対応します。

tx_clk_out

表 2‐10 : その他のステータス/制御ポート   (続き)

ポート名 方向 説明 クロック  ド メイン

10G/25G 高速 Ethernet v1.3 21PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ctl_tx_test_pattern_enable 入力 TX コアのテス ト パターン生成イネーブル。値が 1 の場合、テス ト モードが有効になり ます。

第 45 項で定義された MDIO レジスタ ビット 3.42.3 に対応します。 2 番目の優先権を持ちます。

tx_clk_out

ctl_tx_test_pattern_seed_a[57:0] 入力 第 45 項で定義された MDIO レジスタ ビット 3.34 ~ 3.37 に対応します。

tx_clk_out

ctl_tx_test_pattern_seed_b[57:0] 入力 第 45 項で定義された MDIO レジスタ ビット 3.38 ~ 3.41 に対応します。

tx_clk_out

ctl_tx_test_pattern_select 入力 第 45 項で定義された MDIO レジスタ ビット 3.42.1 に対応します。

tx_clk_out

表 2‐10 : その他のステータス/制御ポート   (続き)

ポート名 方向 説明 クロック  ド メイン

10G/25G 高速 Ethernet v1.3 22PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

統計情報インターフェイスのポート

表 2-11 および表 2-12 に、 統計情報インターフェイスの I/O ポート を示します。

表 2‐11 :統計情報インターフェイス – RX パス

ポート名 方向 説明クロック  ド メイン

stat_rx_total_bytes[4-1:0] 出力受信したすべてのバイ トの数に応じてインク リメン ト します。

rx_clk_out

stat_rx_total_packets[2-1:0] 出力受信したすべてのパケッ トの数に応じてインクリ メン ト します。

rx_clk_out

stat_rx_total_good_bytes[14-1:0] 出力

受信したすべての正常なバイ トの数に応じてインク リ メ ン ト します。 パケッ ト を完全に受信して、 そのパケッ トにエラーが含まれない場合のみ 0 以外の値になり ます。

rx_clk_out

stat_rx_total_good_packets 出力

受信したすべての正常なパケッ トの数に応じてインク リ メ ン ト します。 パケッ ト を完全に受信して、 そのパケッ トにエラーが含まれない場合のみ 0 以外の値になり ます。

rx_clk_out

stat_rx_packet_bad_fcs 出力64 ~ ctl_rx_max_packet_len バイ トで FCS (FrameCheck Sequence) エラーのあるパケッ ト ごとにインク リ メン ト します。

rx_clk_out

stat_rx_packet_64_bytes 出力64 バイ ト のパケ ッ ト (正常パケ ッ ト と不良パケッ ト の両方) を受信するたびにインク リ メ ント します。

rx_clk_out

stat_rx_packet_65_127_bytes 出力65 ~ 127 バイ トのパケッ ト (正常パケッ ト と不良パケッ ト の両方) を受信するたびにインク リメン ト します。

rx_clk_out

stat_rx_packet_128_255_bytes 出力128 ~ 255 バイ トのパケッ ト (正常パケッ ト と不良パケッ ト の両方) を受信するたびにインク リメン ト します。

rx_clk_out

stat_rx_packet_256_511_bytes 出力256 ~ 511 バイ トのパケッ ト (正常パケッ ト と不良パケッ ト の両方) を受信するたびにインク リメン ト します。

rx_clk_out

stat_rx_packet_512_1023_bytes 出力512 ~ 1,023 バイ トのパケッ ト (正常パケッ ト と不良パケッ ト の両方) を受信するたびにインクリ メン ト します。

rx_clk_out

stat_rx_packet_1024_1518_bytes 出力1,024 ~ 1,518 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を受信するたびにインク リ メン ト します。

rx_clk_out

stat_rx_packet_1519_1522_bytes 出力1519 ~ 1522 バイ トのパケッ ト (正常パケッ ト と不良パケッ ト の両方) を受信するたびにインクリ メン ト します。

rx_clk_out

stat_rx_packet_1523_1548_bytes 出力1,523 ~ 1,548 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を受信するたびにインク リ メン ト します。

rx_clk_out

stat_rx_packet_1549_2047_bytes 出力1,549 ~ 2,047 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を受信するたびにインク リ メン ト します。

rx_clk_out

10G/25G 高速 Ethernet v1.3 23PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

stat_rx_packet_2048_4095_bytes 出力2,048 ~ 4,095 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を受信するたびにインク リ メン ト します。

rx_clk_out

stat_rx_packet_4096_8191_bytes 出力4,096 ~ 8,191 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を受信するたびにインク リ メン ト します。

rx_clk_out

stat_rx_packet_8192_9215_bytes 出力8,192 ~ 9,215 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を受信するたびにインク リ メン ト します。

rx_clk_out

stat_rx_packet_small 出力64 バイ ト未満の長さのすべてのパケッ ト ご とにイン ク リ メ ン ト し ます。 64 バイ ト 未満のパケッ トは破棄します。

rx_clk_out

stat_rx_packet_large 出力9,215 バイ ト を超える長さのすべてのパケッ トごとにインク リ メン ト します。

rx_clk_out

stat_rx_unicast 出力正常なユニキャス ト パケッ ト ご とにインク リメン ト します。

rx_clk_out

stat_rx_multicast 出力正常なマルチキャス ト パケッ ト ご とにインクリ メン ト します。

rx_clk_out

stat_rx_broadcast 出力正常なブロードキャス ト パケッ ト ご とにインク リ メン ト します。

rx_clk_out

stat_rx_oversize 出力ctl_rx_max_packet_len を超える長さで FCS が正常なパケッ ト ごとにインク リ メン ト します。

rx_clk_out

stat_rx_toolong 出力ctl_rx_max_packet_len を超える長さで FCS が正常および不正なパケッ ト ごとにインク リ メン トします。

rx_clk_out

stat_rx_undersize 出力stat_rx_min_packet_len よ り短い長さで FCS が正常なパケッ ト ごとにインク リ メン ト します。

rx_clk_out

stat_rx_fragment 出力stat_rx_min_packet_len よ り短い長さで FCS の不正なパケッ ト ごとにインク リ メン ト します。

rx_clk_out

stat_rx_vlan 出力good 802.1Q タグ付きの VLAN パケッ ト ご とにインク リ メン ト します。

rx_clk_out

stat_rx_inrangeerr 出力Length フ ィールドがエラーのある FCS が正常なパケッ ト ごとにインク リ メン ト します。

rx_clk_out

stat_rx_jabber 出力ctl_rx_max_packet_len を超える長さで FCS の不正なパケッ ト ごとにインク リ メン ト します。

rx_clk_out

stat_rx_pause 出力FCS が正常な 802.3x MAC Pause パケッ ト ごとにインク リ メン ト します。

rx_clk_out

stat_rx_user_pause 出力FCS が正常な優先ベース Pause パケッ ト ごとにインク リ メン ト します。

rx_clk_out

stat_rx_bad_code[1-1:0] 出力

64B/66B 符号違反のたびにインク リ メ ン ト します。 この信号は、 RX PCS 受信ステート マシンが IEEE Std. 802.3 仕様で定義された RX_E ステートであるこ とを示します。 この出力を使用して第 45 項で定義された MDIO レジスタを生成できます。

rx_clk_out

表 2‐11 :統計情報インターフェイス – RX パス  (続き)

ポート名 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 24PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

stat_rx_bad_sfd 出力

不正な SFD のたびにインク リ メン ト します。この信号は、受信したイーサネッ ト パケッ トの前に有効な SFD があったかど うかを示します。値が 1 の場合、無効な SFD を受信したこ とを示します。

rx_clk_out

stat_rx_bad_preamble 出力

不正なプリ アンブルのたびにインク リ メ ン ト します。 この信号は、 受信したイーサネッ ト パケッ トの前に有効なプリ アンブルがあったかどうかを示します。 値が 1 の場合、 無効なプリ アンブルを受信したこ とを示します。

rx_clk_out

表 2‐12 :統計情報インターフェイス – TX パス

ポート名 方向 説明クロックド メイン

stat_tx_total_bytes[4-1:0] 出力送信したすべてのバイ ト の数に応じてイン クリ メン ト します。

tx_clk_out

stat_tx_total_packets 出力送信したすべてのパケッ ト の数に応じてインク リ メン ト します。

tx_clk_out

stat_tx_total_good_bytes[14-1:0] 出力

送信したすべての正常なバイ ト の数に応じてインク リ メン ト します。 パケッ ト を完全に送信して、 そのパケッ トにエラーが含まれない場合のみ 0 以外の値になり ます。

tx_clk_out

stat_tx_total_good_packets 出力送信したすべての正常なパケッ ト の数に応じてインク リ メン ト します。

tx_clk_out

stat_tx_bad_fcs 出力64 バイ ト を超え、FCS エラーのあるパケッ ト ごとにインク リ メン ト します。

tx_clk_out

stat_tx_packet_64_bytes 出力64 バイ ト のパケ ッ ト (正常パケ ッ ト と不良パケッ トの両方) を送信するたびにインク リ メ ント します。

tx_clk_out

stat_tx_packet_65_127_bytes 出力65 ~ 127 バイ トのパケッ ト (正常パケッ ト と不良パケッ ト の両方) を送信するたびにインク リメン ト します。

tx_clk_out

stat_tx_packet_128_255_bytes 出力128 ~ 255 バイ ト のパケッ ト (正常パケッ ト と不良パケッ トの両方) を送信するたびにインクリ メン ト します。

tx_clk_out

stat_tx_packet_256_511_bytes 出力256 ~ 511 バイ ト のパケッ ト (正常パケッ ト と不良パケッ トの両方) を送信するたびにインクリ メン ト します。

tx_clk_out

stat_tx_packet_512_1023_bytes 出力512 ~ 1,023 バイ トのパケッ ト (正常パケッ ト と不良パケッ トの両方) を送信するたびにインクリ メン ト します。

tx_clk_out

stat_tx_packet_1024_1518_bytes 出力1,024 ~ 1,518 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を送信するたびにインク リ メン ト します。

tx_clk_out

表 2‐11 :統計情報インターフェイス – RX パス  (続き)

ポート名 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 25PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

stat_tx_packet_1519_1522_bytes 出力1,519 ~ 1,522 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を送信するたびにインク リ メン ト します。

tx_clk_out

stat_tx_packet_1523_1548_bytes 出力1,523 ~ 1,548 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を送信するたびにインク リ メン ト します。

tx_clk_out

stat_tx_packet_1549_2047_bytes 出力1,549 ~ 2,047 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を送信するたびにインク リ メン ト します。

tx_clk_out

stat_tx_packet_2048_4095_bytes 出力2,048 ~ 4,095 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を送信するたびにインク リ メン ト します。

tx_clk_out

stat_tx_packet_4096_8191_bytes 出力4,096 ~ 8,191 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を送信するたびにインク リ メン ト します。

tx_clk_out

stat_tx_packet_8192_9215_bytes 出力8,192 ~ 9,215 バイ トのパケッ ト (正常パケッ トと不良パケッ ト の両方) を送信するたびにインク リ メン ト します。

tx_clk_out

stat_tx_packet_small 出力64 バイ ト未満の長さのすべてのパケッ ト ご とにインク リ メン ト します。

tx_clk_out

stat_tx_packet_large 出力9,215 バイ ト を超える長さのすべてのパケッ トごとにインク リ メン ト します。

tx_clk_out

stat_tx_unicast 出力正常なユニキャス ト パケッ ト ご とにインク リメン ト します。

tx_clk_out

stat_tx_multicast 出力正常なマルチキャス ト パケッ ト ご とにインクリ メン ト します。

tx_clk_out

stat_tx_broadcast 出力正常なブロードキャス ト パケッ ト ご とにインク リ メン ト します。

tx_clk_out

stat_tx_vlan 出力good 802.1Q タグ付きの VLAN パケッ ト ごとにインク リ メン ト します。

tx_clk_out

stat_tx_pause 出力FCS が正常な 802.3x MAC Pause パケッ ト ご とにインク リ メン ト します。

tx_clk_out

stat_tx_user_pause 出力FCS が正常な優先ベース Pause パケッ ト ごとにインク リ メン ト します。

tx_clk_out

stat_tx_frame_error 出力EOP (End of Packet) の中止を示す tx_errin がセッ ト されたパケッ ト ご とにイン ク リ メ ン トします。

tx_clk_out

表 2‐12 :統計情報インターフェイス – TX パス  (続き)

ポート名 方向 説明クロックド メイン

10G/25G 高速 Ethernet v1.3 26PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

Pause インターフェイス

表 2-13 および表 2-15 に、 Pause インターフェイス の I/O ポート を示します。

表 2‐13 : Pause インターフェイス – 制御ポート

ポート名 方向 説明クロック  ド メイン

ctl_rx_pause_enable[9-1:0] 入力

RX Pause イネーブル信号。この入力を使用して、対応する優先度の Pause ク ォンタムの処理を有効にします。 この信号は RX ユーザー インターフェイスにのみ影響し、Pause 処理ロジッ クには影響しません。

rx_clk_out

ctl_tx_pause_enable[9-1:0] 入力

TX Pause イネーブル信号。この入力を使用して、対応する優先度の Pause ク ォンタムの処理を有効にします。 この信号は Pause パケッ ト の送信をゲーティングします。

tx_clk_out

表 2‐14 : Pause インターフェイス – RX パス

ポート名 方向 説明クロック  ド メイン

ctl_rx_enable_gcp 入力値が 1 の場合、グローバル制御パケッ トの処理を有効にします。

rx_clk_out

ctl_rx_check_mcast_gcp 入力値が 1 の場合、グローバル制御マルチキャス トデステ ィネーシ ョ ン アド レスの処理を有効にします。

rx_clk_out

ctl_rx_check_ucast_gcp 入力値が 1 の場合、グローバル制御ユニキャス ト デステ ィネーシ ョ ン アド レスの処理を有効にします。

rx_clk_out

ctl_rx_pause_da_ucast[47:0] 入力Pause 処理用のユニキャス ト デスティネーシ ョン アドレス。

rx_clk_out

ctl_rx_check_sa_gcp 入力値が 1 の場合、 グローバル制御ソース アド レスの処理を有効にします。

rx_clk_out

ctl_rx_pause_sa[47:0] 入力 Pause 処理用のソース アドレス。 rx_clk_out

ctl_rx_check_etype_gcp 入力値が 1 の場合、 グローバル制御 EtherType の処理を有効にします。

rx_clk_out

ctl_rx_check_opcode_gcp 入力値が 1 の場合、グローバル制御オペコードの処理を有効にします。

rx_clk_out

ctl_rx_opcode_min_gcp[15:0] 入力 グローバル制御オペコードの 小値。 rx_clk_out

ctl_rx_opcode_max_gcp[15:0] 入力 グローバル制御オペコードの 大値。 rx_clk_out

ctl_rx_etype_gcp[15:0] 入力 グローバル制御処理用の EtherType フィールド。 rx_clk_out

ctl_rx_enable_pcp 入力値が 1 の場合、優先制御パケッ トの処理を有効にします。

rx_clk_out

ctl_rx_check_mcast_pcp 入力値が 1 の場合、優先制御マルチキャス ト デスティネーション アドレスの処理を有効にします。

rx_clk_out

ctl_rx_check_ucast_pcp 入力値が 1 の場合、優先制御ユニキャス ト デスティネーシ ョ ン アドレスの処理を有効にします。

rx_clk_out

10G/25G 高速 Ethernet v1.3 27PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ctl_rx_pause_da_mcast[47:0] 入力Pause 処理用のマルチキャス ト デステ ィ ネーシ ョ ン アドレス。

rx_clk_out

ctl_rx_check_sa_pcp 入力値が 1 の場合、 優先制御ソース アド レスの処理を有効にします。

rx_clk_out

ctl_rx_check_etype_pcp 入力値が 1 の場合、 優先制御 EtherType の処理を有効にします。

rx_clk_out

ctl_rx_etype_pcp[15:0] 入力 優先制御処理用の EtherType フ ィールド。 rx_clk_out

ctl_rx_check_opcode_pcp 入力値が 1 の場合、優先制御オペコードの処理を有効にします。

rx_clk_out

ctl_rx_opcode_min_pcp[15:0] 入力 優先制御オペコードの 小値。 rx_clk_out

ctl_rx_opcode_max_pcp[15:0] 入力 優先制御オペコードの 大値。 rx_clk_out

ctl_rx_enable_gpp 入力値が 1 の場合、 グローバル Pause パケッ トの処理を有効にします。

rx_clk_out

ctl_rx_check_mcast_gpp 入力値が 1 の場合、 グローバル Pause マルチキャスト デスティネーシ ョ ン アドレスの処理を有効にします。

rx_clk_out

ctl_rx_check_ucast_gpp 入力値が 1 の場合、 グローバル Pause ユニキャス トデステ ィネーシ ョ ン アド レスの処理を有効にします。

rx_clk_out

ctl_rx_check_sa_gpp 入力値が 1 の場合、グローバル Pause ソース アドレスの処理を有効にします。

rx_clk_out

ctl_rx_check_etype_gpp 入力値が 1 の場合、グローバル Pause EtherType の処理を有効にします。

rx_clk_out

ctl_rx_etype_gpp[15:0] 入力グローバル Pause 処理用の EtherType フ ィールド。

rx_clk_out

ctl_rx_check_opcode_gpp 入力値が 1 の場合、 グローバル Pause オペコードの処理を有効にします。

rx_clk_out

ctl_rx_opcode_gpp[15:0] 入力 グローバル Pause オペコードの値。 rx_clk_out

ctl_rx_enable_ppp 入力値が 1 の場合、優先 Pause パケッ トの処理を有効にします。

rx_clk_out

ctl_rx_check_mcast_ppp 入力値が 1 の場合、優先 Pause マルチキャス ト デステ ィ ネーシ ョ ン ア ド レ スの処理を有効にします。

rx_clk_out

ctl_rx_check_ucast_ppp 入力値が 1 の場合、優先 Pause ユニキャス ト デステ ィ ネーシ ョ ン ア ド レ スの処理を有効にします。

rx_clk_out

ctl_rx_check_sa_ppp 入力値が 1 の場合、優先 Pause ソース アドレスの処理を有効にします。

rx_clk_out

ctl_rx_check_etype_ppp 入力値が 1 の場合、優先 Pause EtherType の処理を有効にします。

rx_clk_out

ctl_rx_etype_ppp[15:0] 入力 優先 Pause 処理用の EtherType フ ィールド。 rx_clk_out

ctl_rx_check_opcode_ppp 入力値が 1 の場合、優先 Pause オペコードの処理を有効にします。

rx_clk_out

表 2‐14 : Pause インターフェイス – RX パス  (続き)

ポート名 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 28PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ctl_rx_opcode_ppp[15:0] 入力 優先 Pause オペコードの値。 rx_clk_out

stat_rx_pause_req[9-1:0] 出力

Pause 要求信号。 有効な Pause フレームを受信する と、 RX はこのバスの対応するビッ ト を 1にセッ ト し、 Pause パケッ トの処理が完了するまで 1 のまま保持します。

rx_clk_out

ctl_rx_pause_ack[9-1:0] 入力Pause 肯定応答 (ACK) 信号。 このバスは、 ユーザー ロジッ クから受信した Pause フレームに対して ACK を返すために使用します。

rx_clk_out

ctl_rx_check_ack 入力

肯定応答 (ACK) を待ちます。 この入力が 1 に設定されている と、 コ アは Pause 処理用にctl_rx_pause_ack[8:0] バスを使用します。この入力が 0 に設定されている と、ctl_rx_pause_ack[8:0] は使用されません。

rx_clk_out

ctl_rx_forward_control 入力値が 1 の場合、コアは制御パケッ ト を転送します。値が 0 の場合、 コアは制御パケッ ト を破棄します。

rx_clk_out

stat_rx_pause_valid[9-1:0] 出力

Pause パケ ッ ト を受信し、stat_rx_pause_quanta[8:0][15:0] バスの関連するクォンタムが有効であ り、 Pause 処理に使用する必要があ る こ と を示し ます。 802.3x MACPause パケッ ト を受信した場合、ビッ ト [8] は 1にセッ ト されます。

rx_clk_out

stat_rx_pause_quanta[8:0][15:0] 出力

これらの 9 個のバスは、優先ベースの Pause 動作およびグローバル Pause 動作における 8 つの優先度対して受信したクォンタムを示します。802.3x MAC Pause パケッ ト を受信した場合、このクォンタムが値 [8] に格納されます。

rx_clk_out

表 2‐15 : Pause インターフェイス – TX パス

ポート名 方向 説明クロック  ド メ イン

ctl_tx_pause_req[9-1:0] 入力

このバスのビ ッ ト が 1 の場合、 コ アはctl_tx_pause_quanta[8:0][15:0] バスの関連するク ォンタム値を用いて Pause パケッ ト を送信します。 ビ ッ ト [8] が 1 の場合、 グローバルPause パケッ ト が送信されます。 それ以外のビッ トが 1 の場合、優先 Pause パケッ トが送信されます。

tx_clk_out

ctl_tx_pause_quanta[8:0][15:0] 入力

これらの 9 個のバスは、 優先ベースの Pause動作およびグローバル Pause 動作における 8つの優先度に対して、 送信される ク ォンタムを示します。stat_tx_pause_quanta[8] の値は グローバル Pause 動作で使用されます。 その他の値は、 優先ベースの Pause 動作で使用されます。

tx_clk_out

表 2‐14 : Pause インターフェイス – RX パス  (続き)

ポート名 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 29PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

オート  ネゴシエーシ ョ ン  ポート

表 2-16 に、 オート ネゴシエーシ ョ ンに使用される追加ポート を示します。 これらの信号は、 *wrapper.v 階層ファイルにあ り ます。

ctl_tx_pause_refresh_timer[8:0][15:0] 入力

これらの 9 個のバスは、優先ベースの Pause 動作およびグローバル Pause 動作における 8 つの優先度に対して、Pause パケッ ト を再送する時間を設定します。stat_tx_pause_refresh_timer[8] の値は グローバル Pause 動作で使用されます。 その他の値は、優先ベースの Pause 動作で使用されます。

tx_clk_out

ctl_tx_da_gpp[47:0] 入力グローバル Pause パケッ ト送信用のデステ ィネーシ ョ ン アドレス。

tx_clk_out

ctl_tx_sa_gpp[47:0] 入力グローバル Pause パケッ ト送信用のソース アドレス。

tx_clk_out

ctl_tx_ethertype_gpp[15:0] 入力グ ローバル Pause パケ ッ ト 送信用のEtherType。

tx_clk_out

ctl_tx_opcode_gpp[15:0] 入力グ ローバル Pause パケ ッ ト 送信用のオペコード。

tx_clk_out

ctl_tx_da_ppp[47:0] 入力優先 Pause パケ ッ ト 送信用のデステ ィ ネーシ ョ ン アドレス。

tx_clk_out

ctl_tx_sa_ppp[47:0] 入力 優先 Pause パケッ ト送信用のソース アドレス。 tx_clk_out

ctl_tx_ethertype_ppp[15:0] 入力 優先 Pause パケッ ト送信用の EtherType。 tx_clk_out

ctl_tx_opcode_ppp[15:0] 入力 優先 Pause パケッ ト送信用のオペコード。 tx_clk_out

ctl_tx_resend_pause 入力

保留中の Pause パケッ ト を再送します。この入力のサンプル値が 1 の場合、 現在のパケッ ト転送が完了する とただちに保留中のすべてのPause パケッ トが再送され、再送カウンターがリセッ ト されます。 この入力は、1 回に 1 サイクルのみパルスしてください。

tx_clk_out

stat_tx_pause_valid[9-1:0] 出力

このバスのビッ トが 1 の場合、 Integrated 100GEthernet コアが Pause パケッ ト を送信したこ とを示します。 ビッ ト [8] が 1 の場合、グローバル Pause パケッ ト が送信されたこ と を示します。 それ以外のビッ トが 1 の場合、優先 Pauseパケッ トが送信されます。

tx_clk_out

表 2‐16 : オート  ネゴシエーシ ョ ン用の追加ポート

ポート名 方向 説明  クロック  ド メイン

an_clk 入力 オー ト ネゴシエーシ ョ ン回路の入力クロ ッ ク。 周波数要件の詳細は、 該当する リ リースの readme フ ァ イルを参照して ください。

「ク ロ ッキング」 を参照。

an_reset 入力 非同期のアクティブ High リセッ ト 。 非同期

表 2‐15 : Pause インターフェイス – TX パス  (続き)

ポート名 方向 説明クロック  ド メ イン

10G/25G 高速 Ethernet v1.3 30PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ctl_autoneg_enable 入力 オー ト ネゴシエーシ ョ ンのイネーブル信号。

an_clk

ctl_autoneg_bypass 入力 オー ト ネゴシエーシ ョ ンを無効にする入力で、 オート ネゴシエーシ ョ ン機能をバイパス し ます。 この入力がアサー ト される と、 オー ト ネゴシエーシ ョ ンは無効になり ますが、 PCS は出力に接続されて動作が可能です。

an_clk

ctl_an_nonce_seed[7:0] 入力 nonce フ ィールドの多項式ジェネレーターを初期化するための 8 ビッ ト シードです。

an_clk

ctl_an_pseudo_sel 入力 ビ ッ ト 49 のラ ンダム ビ ッ ト ジェネレーター用の多項式ジェネレーターを選択します。 この入力が 1 の場合、 多項式は x7+x6+1 とな り ます。 この入力が 0 の場合、 多項式は x7+x3+1 とな ります。

an_clk

ctl_restart_negotiation 入力 この入力は、 回路の現在のステートに関わらずオー ト ネゴシエーシ ョ ンの再開を ト リガーします。

an_clk

ctl_an_local_fault 入力 この入力を使用して、送信リ ンク コード ワードの local_fault ビッ ト を設定します。

an_clk

Pause 機能をサポートする信号

ctl_an_pause 入力 この入力を使用して、送信リ ンク コード ワードの Pause ビッ ト (C0) を設定します。 コアが Pause をサポート していない場合、 この信号は現れない場合があ り ます。

an_clk

ctl_an_asmdir 入力 この入力を使用して、送信リ ンク コード ワードの ASMDIR ビッ ト (C1) を設定します。 コアが Pause をサポート していない場合、 この信号は現れない場合があ り ます。

an_clk

表 2‐16 : オート  ネゴシエーシ ョ ン用の追加ポート   (続き)

ポート名 方向 説明  クロック  ド メイン

10G/25G 高速 Ethernet v1.3 31PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

Ability 信号入力

ctl_an_ability_1000base_kx 入力

これらの入力は Ethernet プロ ト コルの性能を特定します。 この信号は送信リン ク コー ド ワー ド を介し て リ ン クパートナーへ通知されます。 この値が1 の場合、 インターフェイスがそのプロ ト コルをサポート している こ とを意味します。

an_clk

ctl_an_ability_100gbase_cr10 入力 an_clk

ctl_an_ability_100gbase_cr4 入力 an_clk

ctl_an_ability_100gbase_kp4 入力 an_clk

ctl_an_ability_100gbase_kr4 入力 an_clk

ctl_an_ability_10gbase_kr 入力 an_clk

ctl_an_ability_10gbase_kx4 入力 an_clk

ctl_an_ability_25gbase_cr 入力 an_clk

ctl_an_ability_25gbase_cr1 入力 an_clk

ctl_an_ability_25gbase_kr 入力 an_clk

ctl_an_ability_25gbase_kr1 入力 an_clk

ctl_an_ability_40gbase_cr4 入力 an_clk

ctl_an_ability_40gbase_kr4 入力 an_clk

ctl_an_ability_50gbase_cr2 入力 an_clk

ctl_an_ability_50gbase_kr2 入力 an_clk

ctl_an_fec_request 入力 送信 リ ン ク コード ワード の第 74 項FEC 要求ビッ ト を制御するために使用されます。コアが第 74 項 FEC をサポート していない場合、 この信号は現れない場合があ り ます。

an_clk

ctl_an_fec_ability_override 入力 送信 リ ン ク コード ワード の第 74 項FEC の Ability ビ ッ ト を制御するために使用されます。 この入力が 1 に設定されている場合、 送信 リ ン ク コードワードの FEC Ability ビ ッ ト がク リ アされます。コアが第 74 項 FEC をサポート していない場合、 この信号は現れない場合があ り ます。

an_clk

ctl_an_cl91_fec_ability 入力 こ のビ ッ ト は、 第 91 項 FEC 機能のAbility を示します

an_clk

ctl_an_cl91_fec_request 入力 このビッ トは、 第 91 項 FEC 機能を要求する場合に使用されます。

an_clk

表 2‐16 : オート  ネゴシエーシ ョ ン用の追加ポート   (続き)

ポート名 方向 説明  クロック  ド メイン

10G/25G 高速 Ethernet v1.3 32PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

stat_an_link_cntl_1000base_kx[1:0] 出力

さまざまな Ethernet プロ ト コルに対応するオー ト ネゴシエーシ ョ ン コン トローラーからの リ ン ク制御出力です。設定は次のとおりです。

• 00 : DISABLE 、PCS は接続されない。

• 01 : SCAN_FOR_CARRIER、 RX がPCS に接続される。

• 11 : ENABLE、 ミ ッシ ョ ン モード動作用に PCS が接続される。

• 10 : 使用しない。

an_clk

stat_an_link_cntl_100gbase_cr10[1:0] 出力 an_clk

stat_an_link_cntl_100gbase_cr4[1:0] 出力 an_clk

stat_an_link_cntl_100gbase_kp4[1:0] 出力 an_clk

stat_an_link_cntl_100gbase_kr4[1:0] 出力 an_clk

stat_an_link_cntl_10gbase_kr[1:0] 出力 an_clk

stat_an_link_cntl_10gbase_kx4[1:0] 出力 an_clk

stat_an_link_cntl_25gbase_cr[1:0] 出力 an_clk

stat_an_link_cntl_25gbase_cr1[1:0] 出力 an_clk

stat_an_link_cntl_25gbase_kr[1:0] 出力 an_clk

stat_an_link_cntl_25gbase_kr1[1:0] 出力 an_clk

stat_an_link_cntl_40gbase_cr4[1:0] 出力 an_clk

stat_an_link_cntl_40gbase_kr4[1:0] 出力 an_clk

stat_an_link_cntl_50gbase_cr2[1:0] 出力 an_clk

stat_an_link_cntl_50gbase_kr2[1:0] 出力 an_clk

stat_an_fec_enable 出力 リ ンク上で第 74 項 FEC の使用を有効にするために使用します。

an_clk

stat_an_rs_fec_enable 出力 リ ンク上で第 91 項 FEC の使用を有効にするために使用します。

an_clk

stat_an_tx_pause_enable 出力 受信パスのデータ フローを制御するために、送信パスのステーシ ョ ン間 (グローバル) での Pause パケッ ト 生成を有効にするために使用します。

an_clk

stat_an_rx_pause_enable 出力 ト ラ ン ス ミ ッ ターからのデータ フローを制御するために、 受信パスのステーシ ョ ン間 (グローバル) での Pauseパケッ ト生成を有効にするために使用します。

an_clk

stat_an_autoneg_complete 出力 オー ト ネゴシエーシ ョ ンが完了し、PCS からの RX リ ンク ステータスが受信されたこ とを示します。

an_clk

stat_an_parallel_detection_fault 出力 オー ト ネゴシエーシ ョ ン中のパラ レル検出エラーを示します。

an_clk

表 2‐16 : オート  ネゴシエーシ ョ ン用の追加ポート   (続き)

ポート名 方向 説明  クロック  ド メイン

10G/25G 高速 Ethernet v1.3 33PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

stat_an_lp_ability_1000base_kx 出力

これらの信号は、 リ ンク パートナーから示されるプロ ト コルを示します。 出力信号 stat_an_lp_ability_valid がアサート されている場合、 これらはすべて有効になり ます。 値が 1 の場合、 リ ンクパートナーがサポートする とおりにプロ ト コルが示されます。

an_clk

stat_an_lp_ability_100gbase_cr10 出力 an_clk

stat_an_lp_ability_100gbase_cr4 出力 an_clk

stat_an_lp_ability_100gbase_kp4 出力 an_clk

stat_an_lp_ability_100gbase_kr4 出力 an_clk

stat_an_lp_ability_10gbase_kr 出力 an_clk

stat_an_lp_ability_10gbase_kx4 出力 an_clk

stat_an_lp_ability_25gbase_cr 出力 an_clk

stat_an_lp_ability_25gbase_kr 出力 an_clk

stat_an_lp_ability_40gbase_cr4 出力 an_clk

stat_an_lp_ability_40gbase_kr4 出力 an_clk

stat_an_lp_ability_25gbase_cr1 出力 リ ン ク パー ト ナーから示されるプロト コ ル を 示 し ま す。 出 力 信 号stat_an_lp_extended_ability_valid がアサート されている場合に有効にな り ます。 値が 1 の場合、 リ ンク パートナーがサポートする とおりにプロ ト コルが示されます。

an_clk

stat_an_lp_ability_25gbase_kr1 出力 リ ン ク パー ト ナーから示されるプロト コ ル を 示 し ま す。 出 力 信 号stat_an_lp_extended_ability_valid がアサート されている場合に有効にな り ます。 値が 1 の場合、 リ ンク パートナーがサポートする とおりにプロ ト コルが示されます。

an_clk

stat_an_lp_ability_50gbase_cr2 出力 リ ン ク パー ト ナーから示されるプロト コ ル を 示 し ま す。 出 力 信 号stat_an_lp_extended_ability_valid がアサート されている場合に有効にな り ます。 値が 1 の場合、 リ ンク パートナーがサポートする とおりにプロ ト コルが示されます。

an_clk

stat_an_lp_ability_50gbase_kr2 出力 リ ン ク パー ト ナーから示されるプロト コ ル を 示 し ま す。 出 力 信 号stat_an_lp_extended_ability_valid がアサート されている場合に有効にな り ます。 値が 1 の場合、 リ ンク パートナーがサポートする とおりにプロ ト コルが示されます。

an_clk

stat_an_lp_pause 出力 この信号は、 リ ンク パートナーからの受信リ ンク コード ワードに示されたPause ビッ ト (C0) の値を示します。 出力信号 stat_an_lp_ability_valid がアサート されている場合に有効になり ます。

an_clk

表 2‐16 : オート  ネゴシエーシ ョ ン用の追加ポート   (続き)

ポート名 方向 説明  クロック  ド メイン

10G/25G 高速 Ethernet v1.3 34PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

stat_an_lp_asm_dir 出力 この信号は、リ ンク パートナーからの受信リ ンク コード ワードに示されたASMDIR ビ ッ ト (C1) の値を示し ます。 出力信号 stat_an_lp_ability_validがアサー ト されている場合に有効にな り ます。

an_clk

stat_an_lp_fec_ability 出力 この信号は、 リ ンク パートナーからの受信リ ンク コード ワードに示されたFEC 機能の Ability ビ ッ ト の値を示します。 出力信号 stat_an_lp_ability_validがアサート されている場合に有効になり ます。

an_clk

stat_an_lp_fec_request 出力 この信号は、 リ ンク パートナーからの受信リ ンク コード ワードに示されたFEC 要求ビッ トの値を示します。 出力信号 stat_an_lp_ability_valid がアサートされている場合に有効になり ます。

an_clk

stat_an_lp_autoneg_able 出力 この出力信号は、 リ ンク パートナーがオー ト ネゴシエーシ ョ ンを実行できる こ と を 示 し ま す。 出 力 信 号stat_an_lp_ability_valid がアサート されている場合に有効になり ます。

an_clk

stat_an_lp_ability_valid 出力 この信号は、 リ ンク パートナーの通知がすべて有効であるこ とを示します。

an_clk

an_loc_np_data[47:0] 入力 Local Next Page コード ワード。 loc_np入力が設定されている場合に使用される 48 ビッ トのコードワードです。このデータ フ ィールドの、 ビッ ト位置 15、14、 12、および 11 のビッ ト NP、 ACK、および T は、Next Page コード ワードの一部と して転送されません。 これらのビ ッ ト は、 ANIPC (Auto-NegotiationIntellectual Property Core) で生成されます。 ただし、 ビッ ト位置 13 の MessageProtocol ビッ ト (MP) は転送されます。

an_clk

an_lp_np_data[47:0] 出力 Link Partner Next Page Data。 この 48ビッ ト ワードは ANIPC で駆動され、リモー ト リ ン ク パー ト ナーからの 48ビッ ト Next Page コード ワードを含んでいます。

an_clk

ctl_an_loc_np 入力 Local Next Page インジケーター。 このビッ トが 1 の場合、 ANIPC は入力ピンloc_np_data の Next Page ワー ド を リモー ト リ ン ク パー ト ナーへ送信します。 このビッ トが 0 の場合、 ANIPC はNext Page プロ ト コルを開始しません。リ ン ク パー ト ナーが送信する NextPage データ を保持し ている場合に、loc_np ビ ッ ト が ク リ ア される と、ANIPC は Null メ ッセージ ページを送信します。

an_clk

表 2‐16 : オート  ネゴシエーシ ョ ン用の追加ポート   (続き)

ポート名 方向 説明  クロック  ド メイン

10G/25G 高速 Ethernet v1.3 35PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ctl_an_lp_np_ack 入力 Link Partner Next Page Acknowledge。ANIPC への肯定応答信号で、出力ピンlp_np_data で リ モー ト リ ン ク パー トナーからの Next Page データがローカル ホス ト によって読み出されたこ とを示します。この信号が High に遷移する と、ANIPC はリ ンク パートナーに対して Next Page コード ワード を受信したこ とを承認し、 次のコード ワードの送信を開始します。 このと き、 ANIPCは新しい Next Page データが有効になるまで、 lp_np 信号を排除します。

an_clk

stat_an_loc_np_ack 出力 この信号はローカル ホス ト への肯定応答信号で、 入力ピン loc_np_data に現れる Local Next Page が読み出された こ と を 示 し ま す。 こ の 信号は、ANIPC が入力ピン loc_np_data の NextPage データをサンプルする と きに、 1ク ロ ッ ク サイ クル間 High にパルスします。ローカル ホス トは、 この信号のHigh 遷移を検出した場合、 入力ピンloc_np_data の 48 ビ ッ ト Next Pageコード ワード を次に送信する 48 ビット コード ワードに置き換える必要があ り ます。 次に送信する Next Pageデータがない場合は、 loc_np 入力をクリ アする必要があ り ます。

an_clk

stat_an_lp_np 出力 Link Partner Next Page。この信号は、出力ピン lp_np_data に リ モー ト リ ン クパー ト ナーか らの有効な 48 ビ ッ トNext Page コード ワードがある こ と を示します。 lp_np_ack 入力信号が Highに駆動される と、 この信号が Low 駆動し、 ローカル ホス ト によって NextPage データが読み出されたこ と を示し ます。 lp_np_data 出力ピ ンに次のコード ワードが有効になるまで、 Lowを保持し、lp_np 出力は再び High に遷移します。

an_clk

stat_an_lp_ability_extended_fec[1:0] 出力 この出力は、 拡張された FEC 機能のAbility が Schedule 3 の定義に従っているこ とを示します。

an_clk

stat_an_lp_extended_ability_valid 出力 このビッ トが 1 の場合、 検出された拡張機能 Ability が有効である こ と を示します。

an_clk

stat_an_lp_rf 出力 このビッ トは、 リ ンク パートナーのリモート フォルト を示します。

an_clk

表 2‐16 : オート  ネゴシエーシ ョ ン用の追加ポート   (続き)

ポート名 方向 説明  クロック  ド メイン

10G/25G 高速 Ethernet v1.3 36PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

リンク  ト レーニングのポート

表 2-17 にリ ンク ト レーニングのポート を示します。

stat_an_start_tx_disable 出力 ctl_autoneg_enable が High でctl_autoneg_bypass が Low の場合、この信号はオー ト ネゴシエーシ ョ ンのTX_DISABLE の開始時に 1 ク ロ ッ クサイ クル間 High をパルスします。つまり、 オ ー ト ネ ゴ シ エ ー シ ョ ン がTX_DISABLE ステー ト に遷移する と、こ の出力が 1 ク ロ ッ ク サイ クル間High 駆動するため、事実上、オート ネゴシエーシ ョ ンの開始を示します。

an_clk

stat_an_start_an_good_check 出力 ctl_autoneg_enable が High でctl_autoneg_bypass が Low の場合、この信号はオー ト ネゴシエーシ ョ ンのAN_GOOD_CHECK の開始時に 1 クロ ッ ク サイ クル間 High をパルスします。 つま り、 オート ネゴシエーシ ョ ンが AN_GOOD_CHECK ステートに遷移する と、 この出力が 1 ク ロ ッ ク サイクル間 High 駆動するため、事実上、 リ ンク ト レーニングの開始を示します。 ただし、 リ ンク ト レーニングが無効の場合、 つ ま り 入 力 信 号ctl_lt_training_enable が Low の場合、 この出力は事実上 ミ ッシ ョ ン モード動作の開始を示します。

an_clk

表 2‐17 : リンク  ト レーニングのポート

ポート名 方向 説明クロック  ド メイン

ctl_lt_training_enable 入力 リ ンク ト レーニングを有効にします。リ ンク ト レーニングが無効の場合、 すべてのPCS レーンは ミ ッシ ョ ン モードで動作します。

tx_serdes_clk

ctl_lt_restart_training 入力 この信号は、 現在のステー ト に関わらずリ ンク ト レーニングの再開を ト リ ガーします。

tx_serdes_clk

ctl_lt_rx_trained[1-1:0] 入力 この信号がアサート される と、 受信 FIR (有限インパルス応答) フ ィルター係数がすべて設定され、 ト レーニングの受信部分が完了したこ とを示します。

tx_serdes_clk

stat_lt_signal_detect[1-1:0] 出力 この信号は、 各 リ ン ク ト レーニング ステート マシンが SEND_DATA ステート に遷移したこ とを示し、通常の PCS 動作が再開可能とな り ます。

tx_serdes_clk

表 2‐16 : オート  ネゴシエーシ ョ ン用の追加ポート   (続き)

ポート名 方向 説明  クロック  ド メイン

10G/25G 高速 Ethernet v1.3 37PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

stat_lt_training[1-1:0] 出力 この信号は、 各 リ ン ク ト レーニング ステート マシンが リ ンク ト レーニングを実行しているこ とを示します。

tx_serdes_clk

stat_lt_training_fail[1-1:0] 出力 この信号は、対応する リ ンク ト レーニングステー ト マシンが ト レーニング期間中にタ イムアウ ト を検出した場合にアサー トされます。

tx_serdes_clk

stat_lt_frame_lock[1-1:0] 出力 リ ンク ト レーニングが開始される と、これらの信号がアサート され、各 PMD (物理媒体接続部) では対応する リ ンク ト レーニング レシーバーがリ ンク パートナーとのフレーム同期を確立できます。

rx_serdes_clk

stat_lt_preset_from_rx[1-1:0] 出力 この信号は、リ ンク パートナーから制御ブロ ッ クに受信したプ リ セッ ト 制御ビ ッ トの値を示します。

rx_serdes_clk

stat_lt_initialize_from_rx[1-1:0] 出力 この信号は、リ ンク パートナーから制御ブロ ッ クに受信した初期化制御ビ ッ ト の値を示します。

rx_serdes_clk

stat_lt_k_p1_from_rx0[1:0] 出力 この 2 ビッ ト フ ィールドは、 リ ンク パートナーから制御ブロ ッ クに受信する際の、k+1 係数用のアップデート制御ビッ ト を示します。

rx_serdes_clk

stat_lt_k0_from_rx0[1:0] 出力 この 2 ビッ ト フ ィールドは、 リ ンク パートナーから制御ブロ ッ クに受信する際の、k0 係数用のアップデート制御ビッ ト を示します。

rx_serdes_clk

stat_lt_k_m1_from_rx0[1:0] 出力 この 2 ビッ ト フ ィールドは、 リ ンク パートナーから制御ブロ ッ クに受信する際の、k-1 係数用のアップデート制御ビッ ト を示します。

rx_serdes_clk

stat_lt_stat_p1_from_rx0[1:0] 出力 この 2 ビッ ト フ ィールドは、 リ ンク パートナーからステータス ブロ ッ クに受信する際の、k+1 係数用のアップデート ステータス ビッ ト を示します。

rx_serdes_clk

stat_lt_stat0_from_rx0[1:0] 出力 この 2 ビッ ト フ ィールドは、 リ ンク パートナーからステータス ブロ ッ クに受信する際の、 k0 係数用のアップデート ステータス ビッ ト を示します。

rx_serdes_clk

stat_lt_stat_m1_from_rx0[1:0] 出力 この 2 ビッ ト フ ィールドは、 リ ンク パートナーからステータス ブロ ッ クに受信する際の、 k-1 係数用のアップデート ステータス ビッ ト を示します。

rx_serdes_clk

ctl_lt_pseudo_seed0[10:0] 入力 この 11 ビッ トは、 ト レーニング パターンジェネレーターのシード値を 示します。

tx_serdes_clk

ctl_lt_preset_to_tx[1-1:0] 入力 この信号は、ト レーニング フレームの制御ブロ ッ クにある リ ンク パート ナーへ送信されるプ リ セッ ト ビッ ト値を設定するために使用されます。

tx_serdes_clk

表 2‐17 : リンク  ト レーニングのポート   (続き)

ポート名 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 38PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ポートの説明 – PCS バリアン ト型このセクシ ョ ンでは、 10G/25G PCS コアのポートについて説明します。 これらは、 PCS のみのオプシ ョ ンが使用される場合のポートです。 FCS 機能はあ り ません。 PCS には、 Pause および Flow Cotrol ポートは含まれません。 システムインターフェイスは XXVMII です。 表 2-18 に、 PCS バリ アン ト型の I/O ポート を示します。

ctl_lt_initialize_to_tx[1-1:0] 入力 この信号は、ト レーニング フレームの制御ブロ ッ クにある リ ンク パート ナーへ送信される初期化ビ ッ ト 値を設定するために使用されます。

tx_serdes_clk

ctl_lt_k_p1_to_tx0[1:0] 入力 この 2 ビッ ト フ ィールドは、ト レーニングフ レームの制御ブロ ッ ク にあ る リ ン クパー ト ナーへ送信される k+1係数アップデー ト フ ィールドの値を設定するために使用されます。

tx_serdes_clk

ctl_lt_k0_to_tx0[1:0] 入力 この 2 ビッ ト フ ィールドは、ト レーニングフ レームの制御ブロ ッ ク にあ る リ ン クパー ト ナーへ送信される k0 係数ア ップデー ト フ ィールドの値を設定するために使用されます。

tx_serdes_clk

ctl_lt_k_m1_to_tx0[1:0] 入力 この 2 ビッ ト フ ィールドは、ト レーニングフ レームの制御ブロ ッ ク にあ る リ ン クパー ト ナーへ送信される k-1係数ア ップデー ト フ ィールドの値を設定するために使用されます。

tx_serdes_clk

ctl_lt_stat_p1_to_tx0[1:0] 入力 この 2 ビッ ト フ ィールドは、ト レーニングフレームのステータス ブロ ッ クにある リン ク パー ト ナーへ送信される k+1係数アップデー ト ステータスの値を設定するために使用されます。

tx_serdes_clk

ctl_lt_stat0_to_tx0[1:0] 入力 この 2 ビッ ト フ ィールドは、ト レーニングフレームのステータス ブロ ッ クにある リンク パートナーへ送信される k0 係数アップデー ト ステータスの値を設定するために使用されます。

tx_serdes_clk

ctl_lt_stat_m1_to_tx0[1:0] 入力 この 2 ビッ ト フ ィールドは、ト レーニングフレームのステータス ブロ ッ クにある リンク パートナーへ送信される k-1係数アップデー ト ステータスの値を設定するために使用されます。

tx_serdes_clk

stat_lt_rx_sof[1-1:0] 出力 この出力は、 RX SerDes ク ロ ッ クの 1 サイクル間 High に駆動されて、 リ ンク ト レーニング フレームの開始を示します。

rx_serdes_clk

表 2‐17 : リンク  ト レーニングのポート   (続き)

ポート名 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 39PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

表 2‐18 : PCS バリアン ト型の I/O ポート

ポート名 方向 説明クロック  ド メイン

stat_tx_local_fault 出力 値が 1 の場合、 送信エン コーダーのステー ト マシンが TX_INIT ステー ト である こ と を示します。 この出力はレベル センスです。

tx_mii_clk

ctl_rx_prbs31_test_pattern_enable 入力 第 45 項で定義された MDIO レジスタ ビット 3.42.5 に対応します。 1 番 初の優先権を持ちます。

rx_clk_out

ctl_rx_test_pattern_enable 入力 RX コアのテス ト パターン イネーブル。値が 1 の場合、 テス ト モードが有効になり ます。

第 45 項で定義された MDIO レジスタ ビット 3.42.2 に対応します。 2 番目の優先権を持ちます。

rx_clk_out

ctl_rx_data_pattern_select 入力 第 45 項で定義された MDIO レジスタ ビット 3.42.0 に対応します。

rx_clk_out

ctl_rx_test_pattern 入力 RX コアがスク ランブル アイ ドル パターンを受信するためのテス ト パターン イネーブル。 3 番目の優先権を持ちます。

rx_clk_out

ctl_tx_prbs31_test_pattern_enable 入力 第 45 項で定義された MDIO レジスタ ビット 3.42.4 に対応します。 1 番 初の優先権を持ちます。

tx_mii_clk

ctl_tx_test_pattern_enable 入力 TX コアのテス ト パターン生成イネーブル。値が 1 の場合、テス ト モードが有効になり ます。

第 45 項で定義された MDIO レジスタ ビット 3.42.3 に対応します。 2 番目の優先権を持ちます。

tx_mii_clk

ctl_tx_test_pattern_select 入力 第 45 項で定義された MDIO レジスタ ビット 3.42.1 に対応します。

tx_mii_clk

ctl_tx_data_pattern_select 入力 第 45 項で定義された MDIO レジスタ ビット 3.42.0 に対応します。

tx_mii_clk

ctl_tx_test_pattern_seed_a[57:0] 入力 第 45 項で定義された MDIO レジスタ ビット 3.34 ~ 3.37 に対応します。

tx_mii_clk

ctl_tx_test_pattern_seed_b[57:0] 入力 第 45 項で定義された MDIO レジスタ ビット 3.38 ~ 3.41 に対応します。

tx_mii_clk

ctl_tx_test_pattern 入力 TX コアのス ク ランブル アイ ドル テス トパターン生成イネーブル。 値が 1 の場合、テス ト モードが有効になり ます。3 番目の優先権を持ちます。

tx_mii_clk

10G/25G 高速 Ethernet v1.3 40PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

stat_rx_fifo_error 出力 受信ク ロ ッ ク補正 FIFO のエラー インジケーター。 値が 1 の場合、 ク ロ ッ ク補正FIFO のアンダーフローまたはオーバーフローを示します。回復クロ ッ ク と ローカル基準クロ ッ クの PPM 誤差が ±200ppm を超える場合にのみ、 この状況が生じます。

いかなる ク ロ ッ ク サイ クルでも この出力は 1 と してサンプルされ、動作を再開するには対応するポー ト を リ セッ ト する必要があ り ます。

rx_mii_clk

stat_rx_local_fault 出力 値が 1 の場合、受信デコーダーのステートマシンが RX_INIT ステート である こ と を示します。

この出力はレベル センスです。

rx_clk_out

stat_rx_hi_ber 出力 高ビッ ト エラー率 (BER) インジケーター。値が 1 の場合、 802.3 で定義された高 BERであるこ とを示します。

第 45 項で定義された MDIO レジスタ ビット 3.32.1 に対応します。

この出力はレベル センスです。

rx_clk_out

stat_rx_block_lock[1-1:0] 出力 各 PCS レーンのブロ ッ ク ロ ッ ク ステータス。値が 1 の場合、対応するレーンが第 49項の定義に従ってブロ ッ ク ロ ッ クを達成しているこ とを示します。

第 45 項で定義された MDIO レジスタ ビット 3.50.7:0 および 3.51.11:0 に対応します。

この出力はレベル センスです。

rx_clk_out

stat_rx_error 出力 テス ト パターン不一致インク リ メン ト 。任意のサイ クルにおいて、 RX コアで発生したテス ト パターン不一致の発生回数を 0以外の値で示します。

この出力は、 ctl_rx_test_pattern が 1 の場合のみアクティブです。

この出力を使用して、第 45 項で定義されたMDIO レジスタ 3.43.15:0 を生成できます。

この出力は 1 ク ロ ッ ク サイクルの間、パルスされます。

rx_clk_out

stat_rx_valid_ctrl_code 出力 有効な制御コードを含む PCS ブロ ッ クが受信されたこ とを示します。

rx_clk_out

stat_rx_error_valid 出力 インク リ メン ト有効インジケーター。いずれのクロ ッ ク サイクルでも、この信号が 1の場合には stat_rx_error_valid[0:0] の値が有効になり ます。

rx_clk_out

stat_rx_bad_code 出力 64B/66B 符号違反のたびにイン ク リ メ ント します。 この信号は、無効なブロ ッ クで受信した 64b/66b ワードの数を示し、 不正な 64b/66b ブロ ッ ク シーケンスが検出されたこ とを示します。

この出力を使用して第 45 項で定義されたMDIO レジスタ 3.33:7:0 を生成できます。

rx_clk_out

表 2‐18 : PCS バリアン ト型の I/O ポート   (続き)

ポート名 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 41PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ト ランシーバー インターフェイスのポート

表 2-19 に、 ト ランシーバーの I/O ポート を示します。

XGMII/XXVGMII インターフェイスのポート

表 2-20 に、 XGMII/XXVGMII の I/Oンポート を示します。

stat_rx_bad_code_valid 出力 インク リ メン ト有効インジケーター。いずれのクロ ッ ク サイクルでも、この信号が 1の場合には stat_rx_bad_code[0:0] の値が有効になり ます。

rx_clk_out

stat_rx_framing_err 出力 不正な同期ヘッダー ビッ トが検出されるたびにインク リ メン ト します。 このバスの値は、対応する stat_rx_framing_err_valid が 1 を示しているそのサイクルでのみ有効です。

rx_clk_out

stat_rx_framing_err_valid 出力 インク リ メン ト有効インジケーター。いずれのクロ ッ ク サイクルでも、この信号が 1の場合には stat_rx_framing_err[0:0] の値が有効になり ます。

rx_clk_out

表 2‐19 : ト ランシーバーの I/O

ポート名 方向 説明クロック  ド メイン

GT_reset 入力

ト ラシーバー スタート アップ FSM のアクティブ Highのリセッ ト 。 この信号は、10G/25G Ethernet IP コア全体のリセッ ト シーケンスも開始するこ とに留意して ください。

非同期

refclk_n0 入力 SerDes の差動基準クロ ッ ク入力 (負の位相)。「ク ロ ッ キ ング」 を参照。

refclk_p0 入力 SerDes の差動基準クロ ッ ク入力 (負の位相)。「ク ロ ッ キ ング」 を参照。

rx_serdes_data_n0 入力 ラインからのシ リ アル データ (差動信号の負の位相)。「ク ロ ッ キ ング」 を参照。

rx_serdes_data_p0 入力 ラインからのシ リ アル データ (差動信号の正の位相)。「ク ロ ッ キ ング」 を参照。

tx_serdes_data_n0 出力 ラインへのシ リ アル データ (差動信号の負の位相)。「ク ロ ッ キ ング」 を参照。

tx_serdes_data_p0 出力 ラインへのシ リ アル データ (差動信号の正の位相)。「ク ロ ッ キ ング」 を参照。

tx_serdes_clkout 出力 この信号がある場合は、 tx_clk_out と同じです。「ク ロ ッ キ ング」 を参照。

表 2‐18 : PCS バリアン ト型の I/O ポート   (続き)

ポート名 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 42PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

その他のステータス/制御ポート

表 2-21 に、 その他のステータス /制御ポート を示します。

レジスタ空間10/25 G Ethernet は、 オプシ ョ ンと して AXI4-Lite レジスタを使用してコンフ ィギュレーシ ョ ン信号およびステータス信号へアクセス可能です。

AXI4‐Lite のポート

表 2-22 に、 AXI プロセッサ インターフェイス用のポート リ ス ト を示します。

表 2‐20 : XGMII/XXVGMII インターフェイスのポート

ポート名 方向 説明 クロック  ド メイン

rx_mii_d[64-1:0] 出力 受信 XGMII/XXVGMII データ バス。 rx_mii_clk

rx_mii_c[8-1:0] 出力 受信 XGMII/XXVGMII 制御バス。 rx_mii_clk

rx_mii_clk 入力 受信 XGMII/XXVGMII ク ロ ッ ク入力。「ク ロ ッキング」 を参照。

tx_mii_d[64-1:0] 入力 送信 XGMII/XXVGMII データ バス。 tx_mii_clk

tx_mii_c[8-1:0] 入力 送信 XGMII/XXVGMII 制御バス。 tx_mii_clk

rx_clk_out 出力 RX PCS 統計用の基準クロ ッ ク。「ク ロ ッキング」 を参照。

tx_clk_out (ortx_mii_clk) 出力

この出力は、 TX mii バスにクロ ッ クを供給するために使用されます。 この信号の立ち上がりエッジでデータが駆動されます。

「ク ロ ッキング」 を参照。

rx_mii_reset 入力 RX mii インターフェイスのリセッ ト入力。 非同期

tx_mii_reset 入力 TX mii インターフェイスの リセッ ト入力。 非同期

表 2‐21 : その他のステータス/制御ポート

ポート名 方向 説明クロック  ド メイン

dclk 入力 DRP ク ロ ッ ク入力。 周波数要件は、 該当する リ リースのreadme ファ イルを参照してください。

「ク ロ ッ キング」 を参照。

ctl_local_loopback 入力 この信号が High の場合、 ト ランシーバーは PMA ループバッ ク ステートにな り ます。

非同期

表 2‐22 : AXI のポート

信号 方向 説明

s_axi_aclk 入力 AXI4-Lite ク ロ ッ ク。 範囲 : 10MHz ~ 300MHz

s_axi_aresetn 入力 非同期のアクティブ Low リセッ ト

s_axi_awaddr[31:0] 入力 書き込みアドレス バス

10G/25G 高速 Ethernet v1.3 43PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

AXI4 バスの動作の詳細は、 『Xilinx AXI Memory-Mapped Protocol v1.8』 を参照してください。

すでに述べたとおり、 統計カウンターを読み出すには、 コンフ ィギュレーシ ョ ン レジスタ TICK_REG の代わりに上位信号 pm_tick を使用できます。 この場合、 レジスタ MODE_REG のビッ ト 30 が 0 に設定されている必要があ ります。 1 に設定されている場合は、 tick_reg を使用して統計カウンター値が読み出されます。

s_axi_awvalid 入力 書き込みアドレスの Valid 信号

s_axi_awready 出力 書き込みアドレスの ACK 信号

s_axi_wdata[31:0] 入力 書き込みデータ バス

s_axi_wstrb[3:0] 入力 データ バスのバイ ト レーン用ス ト ローブ信号

s_axi_wvalid 出力 書き込みデータの Valid 信号

s_axi_wready 出力 書き込みデータの ACK 信号

s_axi_bresp[1:0] 出力 書き込みト ランザクシ ョ ンの応答信号

s_axi_bvalid 出力 書き込み応答の Valid 信号

s_axi_bready 入力 書き込み応答の ACK 信号

s_axi_araddr[31:0] 入力 読み出しアドレス バス

s_axi_arvalid 入力 読み出しアドレスの Valid 信号

s_axi_arready 出力 読み出しアドレスの ACK 信号

s_axi_rdata[31:0] 出力 読み出しデータ出力

s_axi_rresp[1:0] 出力 読み出しデータの応答信号

s_axi_rvalid 出力 読み出しデータ /応答の Valid 信号

s_axi_rready 入力 読み出しデータの ACK 信号

pm_tick 入力 統計カウンターの値を読み出すための 上位信号です。 MODE_REG[30] が 0に設定されている必要があ り ます。

表 2‐22 : AXI のポート   (続き)

信号 方向 説明

10G/25G 高速 Ethernet v1.3 44PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

コンフ ィギュレーシ ョ ン  レジスタ  マップ

コンフ ィギュレーシ ョ ン空間を使用するこ とで、 ソフ ト ウェアはユーザーのさまざまな使用ケースに応じて IP コアを構成できるよ うになり ます。一部の機能はオプシ ョ ンであ り、指定したレジスタは特定の変数では有効でない場合があ り ます。 この場合に該当するレジスタは Reserved とな り ます。

プログラムしたコンフ ィギュレーシ ョ ンを有効にするには、アクティブ High の tx_reset および rx_reset を生成する必要があ り ます。

表 2‐23 : コンフ ィギュレーシ ョ ン レジスタ  マップ

16 進数 アドレス

レジスタ名/説明のリンク先 備考

0x0000 「GT_RESET_REG : 0000」

0x0004 「RESET_REG : 0004」

0x0008 「MODE_REG : 0008」

0x000C 「CONFIGURATION_TX_REG1: 000C」

0x0014 「CONFIGURATION_RX_REG1: 0014」

0x0018 「CONFIGURATION_RX_MTU : 0018」 MAC+PCS の場合のみ

0x001C 「CONFIGURATION_VL_LENGTH_REG : 001C」

0x0020 「TICK_REG : 0020」

0x0024 「CONFIGURATION_REVISION_REG : 0024」

0x0028 「CONFIGURATION_TX_TEST_PAT_SEED_A_LSB : 0028」

0x002C 「CONFIGURATION_TX_TEST_PAT_SEED_A_MSB : 002C」

0x0030 「CONFIGURATION_TX_TEST_PAT_SEED_B_LSB : 0030」

0x0034 「CONFIGURATION_TX_TEST_PAT_SEED_B_MSB : 0034」

0x0038 「CONFIGURATION_1588_REG : 0038」 MAC+PCS の場合のみ

0x0040 「CONFIGURATION_TX_FLOW_CONTROL_REG1 : 0040」 MAC+PCS の場合のみ

0x0044 「CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1 : 0044」 MAC+PCS の場合のみ

0x0048 「CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG2 : 0048」 MAC+PCS の場合のみ

0x004C 「CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG3 : 004C」 MAC+PCS の場合のみ

0x0050 「CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG4 : 0050」 MAC+PCS の場合のみ

0x0054 「CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG5 : 0054」 MAC+PCS の場合のみ

0x0058 「CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1 : 0058」 MAC+PCS の場合のみ

0x005C 「CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG2 : 005C」 MAC+PCS の場合のみ

0x0060 「CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG3 : 0060」 MAC+PCS の場合のみ

0x0064 「CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG4 : 0064」 MAC+PCS の場合のみ

0x0068 「CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG5 : 0068」 MAC+PCS の場合のみ

0x006C 「CONFIGURATION_TX_FLOW_CONTROL_PPP_ETYPE_OP_REG : 006C」 MAC+PCS の場合のみ

0x0070 「CONFIGURATION_TX_FLOW_CONTROL_GPP_ETYPE_OP_REG : 0070」 MAC+PCS の場合のみ

0x0074 「CONFIGURATION_TX_FLOW_CONTROL_GPP_DA_REG_LSB : 0074」 MAC+PCS の場合のみ

10G/25G 高速 Ethernet v1.3 45PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

0x0078 「CONFIGURATION_TX_FLOW_CONTROL_GPP_DA_REG_MSB : 0078」 MAC+PCS の場合のみ

0x007C 「CONFIGURATION_TX_FLOW_CONTROL_GPP_SA_REG_LSB : 007C」 MAC+PCS の場合のみ

0x0080 「CONFIGURATION_TX_FLOW_CONTROL_GPP_SA_REG_MSB : 0080」 MAC+PCS の場合のみ

0x0084 「CONFIGURATION_TX_FLOW_CONTROL_PPP_DA_REG_LSB : 0084」 MAC+PCS の場合のみ

0x0088 「CONFIGURATION_TX_FLOW_CONTROL_PPP_DA_REG_MSB : 0088」 MAC+PCS の場合のみ

0x008C 「CONFIGURATION_TX_FLOW_CONTROL_PPP_SA_REG_LSB : 008C」 MAC+PCS の場合のみ

0x0090 「CONFIGURATION_TX_FLOW_CONTROL_PPP_SA_REG_MSB : 0090」 MAC+PCS の場合のみ

0x0094 「CONFIGURATION_RX_FLOW_CONTROL_REG1: 0094」 MAC+PCS の場合のみ

0x0098 「CONFIGURATION_RX_FLOW_CONTROL_REG2 : 0098」 MAC+PCS の場合のみ

0x009C 「CONFIGURATION_RX_FLOW_CONTROL_PPP_ETYPE_OP_REG : 009C」 MAC+PCS の場合のみ

0x00A0 「CONFIGURATION_RX_FLOW_CONTROL_GPP_ETYPE_OP_REG : 00A0」 MAC+PCS の場合のみ

0x00A4 「CONFIGURATION_RX_FLOW_CONTROL_GCP_PCP_TYPE_REG : 00A4」 MAC+PCS の場合のみ

0x00A8 「CONFIGURATION_RX_FLOW_CONTROL_PCP_OP_REG : 00A8」 MAC+PCS の場合のみ

0x00AC 「CONFIGURATION_RX_FLOW_CONTROL_GCP_OP_REG : 00AC」 MAC+PCS の場合のみ

0x00B0 「CONFIGURATION_RX_FLOW_CONTROL_DA_REG1_LSB : 00B0」 MAC+PCS の場合のみ

0x00B4 「CONFIGURATION_RX_FLOW_CONTROL_DA_REG1_MSB : 00B4」 MAC+PCS の場合のみ

0x00B8 「CONFIGURATION_RX_FLOW_CONTROL_DA_REG2_LSB : 00B8」 MAC+PCS の場合のみ

0x00BC 「CONFIGURATION_RX_FLOW_CONTROL_DA_REG2_MSB : 00BC」 MAC+PCS の場合のみ

0x00C0 「CONFIGURATION_RX_FLOW_CONTROL_SA_REG1_LSB : 00C0」 MAC+PCS の場合のみ

0x00C4 「CONFIGURATION_RX_FLOW_CONTROL_SA_REG1_MSB : 00C4」 MAC+PCS の場合のみ

0x00D0 「CONFIGURATION_RSFEC_REG : 00D0」

0x00D4 「CONFIGURATION_FEC_REG : 00D4」

0x00E0 「CONFIGURATION_AN_CONTROL_REG1 : 00E0」

0x00E4 「CONFIGURATION_AN_CONTROL_REG2 : 00E4」

0x00F8 「CONFIGURATION_AN_ABILITY : 00F8」

0x0100 「CONFIGURATION_LT_CONTROL_REG1: 0100」

0x0104 「CONFIGURATION_LT_TRAINED_REG : 0104」

0x0108 「CONFIGURATION_LT_PRESET_REG : 0108」

0x010C 「CONFIGURATION_LT_INIT_REG : 010C」

0x0110 「CONFIGURATION_LT_SEED_REG0 : 0110」

0x0130 「CONFIGURATION_LT_COEFFICIENT_REG0 : 0130」

表 2‐23 : コンフ ィギュレーシ ョ ン レジスタ  マップ  (続き)

16 進数 アドレス

レジスタ名/説明のリンク先 備考

10G/25G 高速 Ethernet v1.3 46PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ステータス レジスタ  マップ

ステータス レジスタは、システムの状態を示します。 これらのレジスタ読み出し専用であ り、読み出し動作によってク リ アされます。

統計カウンター

統計カウンターは、 ト ラフ ィ ッ クやエラー カウンター値を分類したヒ ス ト グラムを提供します。これらのカウンターは、 pm_tick を 1 に設定、 または TICK_REG に 1 を書き込むこ とで (MODE_REG[30] の値に依存) 読み出すこ とができます。MODE_REG[30] = 0 の場合は、pm_tick が読み出しに使用され、MODE_REG[30] = 1 の場合は TICK_REGが使用されます (1 = デフォルト )。

カウンターは、内部アキュムレータを使用します。 TICK_REG レジスタへの書き込みを実行する と、累積されたカウン ト値が読み出し可能な STAT_*_MSB/LSB レジスタにプッシュされ、 同時にアキュムレータがク リ アされます。 その後、 STAT_*_MSB/LSB レジスタの読み出しが可能になり ます。 このよ うにして、統計カウンターに格納されたすべての値で時間的に等間隔のスナップシ ョ ッ トが作成されます。

STAT_CYCLE_COUNT_MSB/LSB レジスタには、 TICK_REG 書き込み間の RX コア ク ロ ッ ク サイ クル数の値が含まれます。 これによって、 時間間隔ベースの統計が簡単に作成されます。

表 2‐24 : ステータス レジスタ  マップ

16 進数アドレス

レジスタ名/説明のリンク先 備考

0x0400 「STAT_TX_STATUS_REG1 : 0400」

0x0404 「STAT_RX_STATUS_REG1: 0404」

0x0408 「STAT_STATUS_REG1 : 0408」

0x040C 「STAT_RX_BLOCK_LOCK_REG : 040C」

0x0444 「STAT_RX_RSFEC_STATUS_REG : 0444」

0x0448 「STAT_RX_FEC_STATUS_REG : 0448」

0x044C 「STAT_TX_RSFEC_STATUS_REG : 044C」

0x0450 「STAT_TX_FLOW_CONTROL_REG1: 0450」 MAC+PCS の場合のみ

0x0454 「STAT_RX_FLOW_CONTROL_REG1 : 0454」 MAC+PCS の場合のみ

0x0458 「STAT_AN_STATUS : 0458」

0x045C 「STAT_AN_ABILITY : 045C」

0x0460 「STAT_AN_LINK_CTL : 0460」

0x0464 「STAT_LT_STATUS_REG1: 0464」

0x0468 「STAT_LT_STATUS_REG2: 0468」

0x046C 「STAT_LT_STATUS_REG3 : 046C」

0x0470 「STAT_LT_STATUS_REG4 : 0470」

0x0474 「STAT_LT_COEFFICIENT0_REG : 0474」

0x0494 「STAT_RX_VALID_CTRL_CODE : 0494」

10G/25G 高速 Ethernet v1.3 47PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

表 2‐25 :統計カウンター

16 進数アドレス レジスタ名/説明のリンク先 備考

0x0500 「STATUS_CYCLE_COUNT_LSB : 0500」

0x0504 「STATUS_CYCLE_COUNT_MSB : 0504」

0x0648 「STAT_RX_FRAMING_ERR_LSB : 0648」

0x064C 「STAT_RX_FRAMING_ERR_MSB : 064C」

0x0660 「STAT_RX_BAD_CODE_LSB : 0660」

0x0664 「STAT_RX_BAD_CODE_MSB : 0664」

0x0668 「STAT_RX_ERROR_LSB : 0668」 PCS の場合のみ

0x066C 「STAT_RX_ERROR_MSB : 066C」 PCS の場合のみ

0x0670 「STAT_RX_RSFEC_CORRECTED_CW_INC_LSB : 0670」

0x0674 「STAT_RX_RSFEC_CORRECTED_CW_INC_MSB : 0674」

0x0678 「STAT_RX_RSFEC_UNCORRECTED_CW_INC_LSB : 0678」

0x067C 「STAT_RX_RSFEC_UNCORRECTED_CW_INC_MSB : 067C」

0x0680 「STAT_RX_RSFEC_ERR_COUNT0_INC_LSB : 0680」

0x0684 「STAT_RX_RSFEC_ERR_COUNT0_INC_MSB : 0684」

0x06A0 「STAT_TX_FRAME_ERROR_LSB : 06A0」 MAC+PCS の場合のみ

0x06A4 「STAT_TX_FRAME_ERROR_MSB : 06A4」 MAC+PCS の場合のみ

0x0700 「STAT_TX_TOTAL_PACKETS_LSB : 0700」 MAC+PCS の場合のみ

0x0704 「STAT_TX_TOTAL_PACKETS_MSB : 0704」 MAC+PCS の場合のみ

0x0708 「STAT_TX_TOTAL_GOOD_PACKETS_LSB : 0708」 MAC+PCS の場合のみ

0x070C 「STAT_TX_TOTAL_GOOD_PACKETS_MSB : 070C」 MAC+PCS の場合のみ

0x0710 「STAT_TX_TOTAL_BYTES_LSB : 0710」 MAC+PCS の場合のみ

0x0714 「STAT_TX_TOTAL_BYTES_MSB : 0714」 MAC+PCS の場合のみ

0x0718 「STAT_TX_TOTAL_GOOD_BYTES_LSB : 0718」 MAC+PCS の場合のみ

0x071C 「STAT_TX_TOTAL_GOOD_BYTES_MSB : 071C」 MAC+PCS の場合のみ

0x0720 「STAT_TX_PACKET_64_BYTES_LSB: 0720」 MAC+PCS の場合のみ

0x0724 「STAT_TX_PACKET_64_BYTES_MSB: 0724」 MAC+PCS の場合のみ

0x0728 「STAT_TX_PACKET_65_127_BYTES_LSB: 0728」 MAC+PCS の場合のみ

0x072C 「STAT_TX_PACKET_65_127_BYTES_MSB : 072C」 MAC+PCS の場合のみ

0x0730 「STAT_TX_PACKET_128_255_BYTES_LSB : 0730」 MAC+PCS の場合のみ

0x0734 「STAT_TX_PACKET_128_255_BYTES_MSB : 0734」 MAC+PCS の場合のみ

0x0738 「STAT_TX_PACKET_256_511_BYTES_LSB : 0738」 MAC+PCS の場合のみ

0x073C 「STAT_TX_PACKET_256_511_BYTES_MSB : 073C」 MAC+PCS の場合のみ

0x0740 「STAT_TX_PACKET_512_1023_BYTES_LSB : 0740」 MAC+PCS の場合のみ

0x0744 「STAT_TX_PACKET_512_1023_BYTES_MSB: 0744」 MAC+PCS の場合のみ

0x0748 「STAT_TX_PACKET_1024_1518_BYTES_LSB : 0748」 MAC+PCS の場合のみ

10G/25G 高速 Ethernet v1.3 48PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

0x074C 「STAT_TX_PACKET_1024_1518_BYTES_MSB : 074C」 MAC+PCS の場合のみ

0x0750 「STAT_TX_PACKET_1519_1522_BYTES_LSB : 0750」 MAC+PCS の場合のみ

0x0754 「STAT_TX_PACKET_1519_1522_BYTES_MSB : 0754」 MAC+PCS の場合のみ

0x0758 「STAT_TX_PACKET_1523_1548_BYTES_LSB : 0758」 MAC+PCS の場合のみ

0x075C 「STAT_TX_PACKET_1523_1548_BYTES_MSB: 075C」 MAC+PCS の場合のみ

0x0760 「STAT_TX_PACKET_1549_2047_BYTES_LSB : 0760」 MAC+PCS の場合のみ

0x0764 「STAT_TX_PACKET_1549_2047_BYTES_MSB: 0764」 MAC+PCS の場合のみ

0x0768 「STAT_TX_PACKET_2048_4095_BYTES_LSB : 0768」 MAC+PCS の場合のみ

0x076C 「STAT_TX_PACKET_2048_4095_BYTES_MSB : 076C」 MAC+PCS の場合のみ

0x0770 「STAT_TX_PACKET_4096_8191_BYTES_LSB: 0770」 MAC+PCS の場合のみ

0x0774 「STAT_TX_PACKET_4096_8191_BYTES_MSB: 0774」 MAC+PCS の場合のみ

0x0778 「STAT_TX_PACKET_8192_9215_BYTES_LSB: 0778」 MAC+PCS の場合のみ

0x077C 「STAT_TX_PACKET_8192_9215_BYTES_MSB : 077C」 MAC+PCS の場合のみ

0x0780 「STAT_TX_PACKET_LARGE_LSB : 0780」 MAC+PCS の場合のみ

0x0784 「STAT_TX_PACKET_LARGE_MSB : 0784」 MAC+PCS の場合のみ

0x0788 「STAT_TX_PACKET_SMALL_LSB : 0788」 MAC+PCS の場合のみ

0x078C 「STAT_TX_PACKET_SMALL_MSB : 078C」 MAC+PCS の場合のみ

0x07B8 「STAT_TX_BAD_FCS_LSB : 07B8」 MAC+PCS の場合のみ

0x07BC 「STAT_TX_BAD_FCS_MSB : 07BC」 MAC+PCS の場合のみ

0x07D0 「STAT_TX_UNICAST_LSB : 07D0」 MAC+PCS の場合のみ

0x07D4 「STAT_TX_UNICAST_MSB : 07D4」 MAC+PCS の場合のみ

0x07D8 「STAT_TX_MULTICAST_LSB : 07D8」 MAC+PCS の場合のみ

0x07DC 「STAT_TX_MULTICAST_MSB : 07DC」 MAC+PCS の場合のみ

0x07E0 「STAT_TX_BROADCAST_LSB : 07E0」 MAC+PCS の場合のみ

0x07E4 「STAT_TX_BROADCAST_MSB : 07E4」 MAC+PCS の場合のみ

0x07E8 「STAT_TX_VLAN_LSB : 07E8」 MAC+PCS の場合のみ

0x07EC 「STAT_TX_VLAN_MSB : 07EC」 MAC+PCS の場合のみ

0x07F0 「STAT_TX_PAUSE_LSB : 07F0」 MAC+PCS の場合のみ

0x07F4 「STAT_TX_PAUSE_MSB : 07F4」 MAC+PCS の場合のみ

0x07F8 「STAT_TX_USER_PAUSE_LSB : 07F8」 MAC+PCS の場合のみ

0x07FC 「STAT_TX_USER_PAUSE_MSB : 07FC」 MAC+PCS の場合のみ

0x0808 「STAT_RX_TOTAL_PACKETS_LSB : 0808」 MAC+PCS の場合のみ

0x080C 「STAT_RX_TOTAL_PACKETS_MSB : 080C」 MAC+PCS の場合のみ

0x0810 「STAT_RX_TOTAL_GOOD_PACKETS_LSB : 0810」 MAC+PCS の場合のみ

0x0814 「STAT_RX_TOTAL_GOOD_PACKETS_MSB : 0814」 MAC+PCS の場合のみ

0x0818 「STAT_RX_TOTAL_BYTES_LSB : 0818」 MAC+PCS の場合のみ

表 2‐25 :統計カウンター  (続き)

16 進数アドレス レジスタ名/説明のリンク先 備考

10G/25G 高速 Ethernet v1.3 49PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

0x081C 「STAT_RX_TOTAL_BYTES_MSB : 081C」 MAC+PCS の場合のみ

0x0820 「STAT_RX_TOTAL_GOOD_BYTES_LSB : 0820」 MAC+PCS の場合のみ

0x0824 「STAT_RX_TOTAL_GOOD_BYTES_MSB : 0824」 MAC+PCS の場合のみ

0x0828 「STAT_RX_PACKET_64_BYTES_LSB: 0828」 MAC+PCS の場合のみ

0x082C 「STAT_RX_PACKET_64_BYTES_MSB : 082C」 MAC+PCS の場合のみ

0x0830 「STAT_RX_PACKET_65_127_BYTES_LSB: 0830」 MAC+PCS の場合のみ

0x0834 「STAT_RX_PACKET_65_127_BYTES_MSB : 0834」 MAC+PCS の場合のみ

0x0838 「STAT_RX_PACKET_128_255_BYTES_LSB : 0838」 MAC+PCS の場合のみ

0x083C 「STAT_RX_PACKET_128_255_BYTES_MSB : 083C」 MAC+PCS の場合のみ

0x0840 「STAT_RX_PACKET_256_511_BYTES_LSB : 0840」 MAC+PCS の場合のみ

0x0844 「STAT_RX_PACKET_256_511_BYTES_MSB : 0844」 MAC+PCS の場合のみ

0x0848 「STAT_RX_PACKET_512_1023_BYTES_LSB : 0848」 MAC+PCS の場合のみ

0x084C 「STAT_RX_PACKET_512_1023_BYTES_MSB : 084C」 MAC+PCS の場合のみ

0x0850 「STAT_RX_PACKET_1024_1518_BYTES_LSB: 0850」 MAC+PCS の場合のみ

0x0854 「STAT_RX_PACKET_1024_1518_BYTES_MSB : 0854」 MAC+PCS の場合のみ

0x0858 「STAT_RX_PACKET_1519_1522_BYTES_LSB : 0858」 MAC+PCS の場合のみ

0x085C 「STAT_RX_PACKET_1519_1522_BYTES_MSB: 085C」 MAC+PCS の場合のみ

0x0860 「STAT_RX_PACKET_1523_1548_BYTES_LSB : 0860」 MAC+PCS の場合のみ

0x0864 「STAT_RX_PACKET_1523_1548_BYTES_MSB : 0864」 MAC+PCS の場合のみ

0x0868 「STAT_RX_PACKET_1549_2047_BYTES_LSB : 0868」 MAC+PCS の場合のみ

0x086C 「STAT_RX_PACKET_1549_2047_BYTES_MSB : 086C」 MAC+PCS の場合のみ

0x0870 「STAT_RX_PACKET_2048_4095_BYTES_LSB : 0870」 MAC+PCS の場合のみ

0x0874 「STAT_RX_PACKET_2048_4095_BYTES_MSB : 0874」 MAC+PCS の場合のみ

0x0878 「STAT_RX_PACKET_4096_8191_BYTES_LSB : 0878」 MAC+PCS の場合のみ

0x087C 「STAT_RX_PACKET_4096_8191_BYTES_MSB : 087C」 MAC+PCS の場合のみ

0x0880 「STAT_RX_PACKET_8192_9215_BYTES_LSB : 0880」 MAC+PCS の場合のみ

0x0884 「STAT_RX_PACKET_8192_9215_BYTES_MSB : 0884」 MAC+PCS の場合のみ

0x0888 「STAT_RX_PACKET_LARGE_LSB : 0888」 MAC+PCS の場合のみ

0x088C 「STAT_RX_PACKET_LARGE_MSB : 088C」 MAC+PCS の場合のみ

0x0890 「STAT_RX_PACKET_SMALL_LSB : 0890」 MAC+PCS の場合のみ

0x0894 「STAT_RX_PACKET_SMALL_MSB : 0894」 MAC+PCS の場合のみ

0x0898 「STAT_RX_UNDERSIZE_LSB : 0898」 MAC+PCS の場合のみ

0x089C 「STAT_RX_UNDERSIZE_MSB : 089C」 MAC+PCS の場合のみ

0x08A0 「STAT_RX_FRAGMENT_LSB : 08A0」 MAC+PCS の場合のみ

0x08A4 「STAT_RX_FRAGMENT_MSB : 08A4」 MAC+PCS の場合のみ

0x08A8 「STAT_RX_OVERSIZE_LSB : 08A8」 MAC+PCS の場合のみ

表 2‐25 :統計カウンター  (続き)

16 進数アドレス レジスタ名/説明のリンク先 備考

10G/25G 高速 Ethernet v1.3 50PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

0x08AC 「STAT_RX_OVERSIZE_MSB : 08AC」 MAC+PCS の場合のみ

0x08B0 「STAT_RX_TOOLONG_LSB : 08B0」 MAC+PCS の場合のみ

0x08B4 「STAT_RX_TOOLONG_MSB : 08B4」 MAC+PCS の場合のみ

0x08B8 「STAT_RX_JABBER_LSB : 08B8」 MAC+PCS の場合のみ

0x08BC 「STAT_RX_JABBER_MSB : 08BC」 MAC+PCS の場合のみ

0x08C0 「STAT_RX_BAD_FCS_LSB : 08C0」 MAC+PCS の場合のみ

0x08C4 「STAT_RX_BAD_FCS_MSB : 08C4」 MAC+PCS の場合のみ

0x08C8 「STAT_RX_PACKET_BAD_FCS_LSB : 08C8」 MAC+PCS の場合のみ

0x08CC 「STAT_RX_PACKET_BAD_FCS_MSB : 08CC」 MAC+PCS の場合のみ

0x08D0 「STAT_RX_STOMPED_FCS_LSB : 08D0」 MAC+PCS の場合のみ

0x08D4 「STAT_RX_STOMPED_FCS_MSB : 08D4」 MAC+PCS の場合のみ

0x08D8 「STAT_RX_UNICAST_LSB : 08D8」 MAC+PCS の場合のみ

0x08DC 「STAT_RX_UNICAST_MSB : 08DC」 MAC+PCS の場合のみ

0x08E0 「STAT_RX_MULTICAST_LSB : 08E0」 MAC+PCS の場合のみ

0x08E4 「STAT_RX_MULTICAST_MSB : 08E4」 MAC+PCS の場合のみ

0x08E8 「STAT_RX_BROADCAST_LSB : 08E8」 MAC+PCS の場合のみ

0x08EC 「STAT_RX_BROADCAST_MSB : 08EC」 MAC+PCS の場合のみ

0x08F0 「STAT_RX_VLAN_LSB : 08F0」 MAC+PCS の場合のみ

0x08F4 「STAT_RX_VLAN_MSB : 08F4」 MAC+PCS の場合のみ

0x08F8 「STAT_RX_PAUSE_LSB : 08F8」 MAC+PCS の場合のみ

0x08FC 「STAT_RX_PAUSE_MSB : 08FC」 MAC+PCS の場合のみ

0x0900 「STAT_RX_USER_PAUSE_LSB : 0900」 MAC+PCS の場合のみ

0x0904 「STAT_RX_USER_PAUSE_MSB : 0904」 MAC+PCS の場合のみ

0x0908 「STAT_RX_INRANGEERR_LSB : 0908」 MAC+PCS の場合のみ

0x090C 「STAT_RX_INRANGEERR_MSB : 090C」 MAC+PCS の場合のみ

0x0910 「STAT_RX_TRUNCATED_LSB : 0910」 MAC+PCS の場合のみ

0x0914 「STAT_RX_TRUNCATED_MSB : 0914」 MAC+PCS の場合のみ

0x0918 「STAT_RX_TEST_PATTERN_MISMATCH_LSB : 0918」 MAC+PCS の場合のみ

0x091C 「STAT_RX_TEST_PATTERN_MISMATCH_MSB : 091C」 MAC+PCS の場合のみ

0x0920 「STAT_FEC_INC_CORRECT_COUNT_LSB : 0920」

0x0924 「STAT_FEC_INC_CORRECT_COUNT_MSB : 0924」

0x0928 「STAT_FEC_INC_CANT_CORRECT_COUNT_LSB : 0928」

0x092C 「STAT_FEC_INC_CANT_CORRECT_COUNT_MSB : 092C」

表 2‐25 :統計カウンター  (続き)

16 進数アドレス レジスタ名/説明のリンク先 備考

10G/25G 高速 Ethernet v1.3 51PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

レジスタの説明

このセクシ ョ ンでは、 コンフ ィギュレーシ ョ ン レジスタについて説明します。 ビッ ト フ ィールドで説明されている機能が IP コアにない場合、 そのビッ ト フ ィールドは Reserved に戻り ます。

コンフ ィギュレーシ ョ ン  レジスタ

次の表では、 コンフ ィギュレーシ ョ ン レジスタのビッ ト定義を示します。

レジスタおよびレジスタ内のビッ ト フ ィールドへは、 Read-Write (RW)、 Write-Only (WO)、 または Read-Only (RO) でアクセス可能です。 デフォルト値は 10 進数の値で、 リセッ ト後に適用されます。

各信号については、 「ポートの説明」 で説明しています。

GT_RESET_REG : 0000 

RESET_REG : 0004

MODE_REG : 0008

CONFIGURATION_TX_REG1: 000C

表 2‐26 : GT_RESET_REG : 0000

ビッ ト デフォルト 種類 信号

0 0 RW ctl_gt_reset_all

1 0 RW ctl_gt_rx_reset

2 0 RW ctl_gt_tx_reset

表 2‐27 : RESET_REG : 0004

ビッ ト デフォルト 種類 信号

0 0 RW rx_serdes_reset

29 0 RW tx_serdes_reset

30 0 RW rx_reset

31 0 RW tx_reset

表 2‐28 : MODE_REG : 0008

ビッ ト デフォルト 種類 信号

30 1 RW tick_reg_mode_sel

31 0 RW ctl_local_loopback

表 2‐29 : CONFIGURATION_TX_REG1 : 000C

ビッ ト デフォルト 種類 信号

0 1 RW ctl_tx_enable(1)

1 1 RW ctl_tx_fcs_ins_enable(1)

2 0 RW ctl_tx_ignore_fcs(1)

3 0 RW ctl_tx_send_lfi(1)

4 0 RW ctl_tx_send_rfi(1)

10G/25G 高速 Ethernet v1.3 52PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

CONFIGURATION_RX_REG1: 0014

CONFIGURATION_RX_MTU : 0018

5 0 RW ctl_tx_send_idle(1)

13:10 12 RW ctl_tx_ipg_value(1)

14 0 RW ctl_tx_test_pattern

15 0 RW ctl_tx_test_pattern_enable

16 0 RW ctl_tx_test_pattern_select

17 0 RW ctl_tx_data_pattern_select

18 0 RW ctl_tx_custom_preamble_enable(1)

23 0 RW ctl_tx_prbs31_test_pattern_enable(2)

注記 :

1. MAC+PCS の場合のみです。

2. PCS の場合のみです。

表 2‐30 : CONFIGURATION_RX_REG1 : 0014

ビッ ト デフォルト 種類 信号

0 1 RW ctl_rx_enable(1)

1 1 RW ctl_rx_delete_fcs(1)

2 0 RW ctl_rx_ignore_fcs(1)

3 0 RW ctl_rx_process_lfi(1)

4 1 RW ctl_rx_check_sfd(1)

5 1 RW ctl_rx_check_preamble(1)

6 0 RW ctl_rx_force_resync(1)

7 0 RW ctl_rx_test_pattern

8 0 RW ctl_rx_test_pattern_enable

9 0 RW ctl_rx_data_pattern_select

10 - - Reserved

11 0 RW ctl_rx_custom_preamble_enable

12 0 RW ctl_rx_prbs31_test_pattern_enable(2)

注記 :

1. MAC+PCS の場合のみです。

2. PCS の場合のみです。

表 2‐31 : CONFIGURATION_RX_MTU : 0018

ビッ ト デフォルト 種類 信号

7:0 64 RW ctl_rx_min_packet_len

30:16 9,600 RW ctl_rx_max_packet_len

表 2‐29 : CONFIGURATION_TX_REG1 : 000C  (続き)

ビッ ト デフォルト 種類 信号

10G/25G 高速 Ethernet v1.3 53PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

CONFIGURATION_VL_LENGTH_REG : 001C

TICK_REG : 0020

CONFIGURATION_REVISION_REG : 0024

CONFIGURATION_TX_TEST_PAT_SEED_A_LSB : 0028

CONFIGURATION_TX_TEST_PAT_SEED_A_MSB : 002C

CONFIGURATION_TX_TEST_PAT_SEED_B_LSB : 0030

CONFIGURATION_TX_TEST_PAT_SEED_B_MSB : 0034

表 2‐32 : CONFIGURATION_VL_LENGTH_REG : 001C

ビッ ト デフォルト 種類 信号 

15:0 20,479 RW ctl_tx_vl_length_minus1

31:16 20,479 RW ctl_rx_vl_length_minus1

表 2‐33 : TICK_REG : 0020

ビッ ト デフォルト 種類 信号

0 0 WO tick_reg

表 2‐34 : CONFIGURATION_REVISION_REG : 0024

ビッ ト デフォルト 種類 信号

7:0 1 RO major_rev

15:8 3 RO minor_rev

31:24 0 RO patch_rev

表 2‐35 : CONFIGURATION_TX_TEST_PAT_SEED_A_LSB : 0028

ビッ ト デフォルト 種類 信号

31:0 0 RW ctl_tx_test_pattern_seed_a[31:0]

表 2‐36 : CONFIGURATION_TX_TEST_PAT_SEED_A_MSB : 002C

ビッ ト デフォルト 種類 信号

25:0 0 RW ctl_tx_test_pattern_seed_a[57:32]

表 2‐37 : CONFIGURATION_TX_TEST_PAT_SEED_B_LSB : 0030

ビッ ト デフォルト 種類 信号

31:0 0 RW ctl_tx_test_pattern_seed_b[31:0]

表 2‐38 : CONFIGURATION_TX_TEST_PAT_SEED_B_MSB : 0034

ビッ ト デフォルト 種類 信号

25:0 0 RW ctl_tx_test_pattern_seed_b[57:32]

10G/25G 高速 Ethernet v1.3 54PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

CONFIGURATION_1588_REG : 0038

CONFIGURATION_TX_FLOW_CONTROL_REG1 : 0040

CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1 : 0044

CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG2 : 0048

CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG3 : 004C

CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG4 : 0050

表 2‐39 : CONFIGURATION_1588_REG : 0038

ビッ ト デフォルト 種類 信号

0 0 RW ctl_tx_ptp_1step_enable

2 0 RW ctl_ptp_transpclk_mode

26:16 0 RW ctl_tx_ptp_latency_adjust

表 2‐40 : CONFIGURATION_TX_FLOW_CONTROL_REG1 : 0040

ビッ ト デフォルト 種類 信号

8:0 0 RW ctl_tx_pause_enable

表 2‐41 : CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG1 : 0044

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_pause_refresh_timer0

31:16 0 RW ctl_tx_pause_refresh_timer1

表 2‐42 : CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG2 : 0048

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_pause_refresh_timer2

31:16 0 RW ctl_tx_pause_refresh_timer3

表 2‐43 : CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG3 : 004C

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_pause_refresh_timer4

31:16 0 RW ctl_tx_pause_refresh_timer5

表 2‐44 : CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG4 : 0050

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_pause_refresh_timer6

31:16 0 RW ctl_tx_pause_refresh_timer7

10G/25G 高速 Ethernet v1.3 55PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG5 : 0054

CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1 : 0058

CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG2 : 005C

CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG3 : 0060

CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG4 : 0064

CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG5 : 0068

CONFIGURATION_TX_FLOW_CONTROL_PPP_ETYPE_OP_REG : 006C

表 2‐45 : CONFIGURATION_TX_FLOW_CONTROL_REFRESH_REG5 : 0054

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_pause_refresh_timer8

表 2‐46 : CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG1 : 0058

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_pause_quanta0

31:16 0 RW ctl_tx_pause_quanta1

表 2‐47 : CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG2 : 005C

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_pause_quanta2

31:16 0 RW ctl_tx_pause_quanta3

表 2‐48 : CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG3 : 0060

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_pause_quanta4

31:16 0 RW ctl_tx_pause_quanta5

表 2‐49 : CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG4 : 0064

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_pause_quanta6

31:16 0 RW ctl_tx_pause_quanta7

表 2‐50 : CONFIGURATION_TX_FLOW_CONTROL_QUANTA_REG5 : 0068

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_pause_quanta8

表 2‐51 : CONFIGURATION_TX_FLOW_CONTROL_PPP_ETYPE_OP_REG : 006C

ビッ ト デフォルト 種類 信号

15:0 34824 RW ctl_tx_ethertype_ppp

31:16 257 RW ctl_tx_opcode_ppp

10G/25G 高速 Ethernet v1.3 56PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

CONFIGURATION_TX_FLOW_CONTROL_GPP_ETYPE_OP_REG : 0070

CONFIGURATION_TX_FLOW_CONTROL_GPP_DA_REG_LSB : 0074

CONFIGURATION_TX_FLOW_CONTROL_GPP_DA_REG_MSB : 0078

CONFIGURATION_TX_FLOW_CONTROL_GPP_SA_REG_LSB : 007C

CONFIGURATION_TX_FLOW_CONTROL_GPP_SA_REG_MSB : 0080

CONFIGURATION_TX_FLOW_CONTROL_PPP_DA_REG_LSB : 0084

CONFIGURATION_TX_FLOW_CONTROL_PPP_DA_REG_MSB : 0088

表 2‐52 : CONFIGURATION_TX_FLOW_CONTROL_GPP_ETYPE_OP_REG : 0070

ビッ ト デフォルト 種類 信号

15:0 34824 RW ctl_tx_ethertype_gpp

31:16 1 RW ctl_tx_opcode_gpp

表 2‐53 : CONFIGURATION_TX_FLOW_CONTROL_GPP_DA_REG_LSB : 0074

ビッ ト デフォルト 種類 信号

31:0 0 RW ctl_tx_da_gpp[31:0]

表 2‐54 : CONFIGURATION_TX_FLOW_CONTROL_GPP_DA_REG_MSB : 0078

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_da_gpp[47:32]

表 2‐55 : CONFIGURATION_TX_FLOW_CONTROL_GPP_SA_REG_LSB : 007C

ビッ ト デフォルト 種類 信号

31:0 0 RW ctl_tx_sa_gpp[31:0]

表 2‐56 : CONFIGURATION_TX_FLOW_CONTROL_GPP_SA_REG_MSB : 0080

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_sa_gpp[47:32]

表 2‐57 : CONFIGURATION_TX_FLOW_CONTROL_PPP_DA_REG_LSB : 0084

ビッ ト デフォルト 種類 信号

31:0 0 RW ctl_tx_da_ppp[31:0]

表 2‐58 : CONFIGURATION_TX_FLOW_CONTROL_PPP_DA_REG_MSB : 0088

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_da_ppp[47:32]

10G/25G 高速 Ethernet v1.3 57PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

CONFIGURATION_TX_FLOW_CONTROL_PPP_SA_REG_LSB : 008C

CONFIGURATION_TX_FLOW_CONTROL_PPP_SA_REG_MSB : 0090

CONFIGURATION_RX_FLOW_CONTROL_REG1: 0094

CONFIGURATION_RX_FLOW_CONTROL_REG2 : 0098

表 2‐59 : CONFIGURATION_TX_FLOW_CONTROL_PPP_SA_REG_LSB : 008C

ビッ ト デフォルト 種類 信号

31:0 0 RW ctl_tx_sa_ppp[31:0]

表 2‐60 : CONFIGURATION_TX_FLOW_CONTROL_PPP_SA_REG_MSB : 0090

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_tx_sa_ppp[47:32]

表 2‐61 : CONFIGURATION_RX_FLOW_CONTROL_REG1 : 0094

ビッ ト デフォルト 種類 信号

8:0 0 RW ctl_rx_pause_enable

9 0 RW ctl_rx_forward_control

10 0 RW ctl_rx_enable_gcp

11 0 RW ctl_rx_enable_pcp

12 0 RW ctl_rx_enable_gpp

13 0 RW ctl_rx_enable_ppp

14 0 RW ctl_rx_check_ack

表 2‐62 : CONFIGURATION_RX_FLOW_CONTROL_REG2 : 0098

ビッ ト デフォルト 種類 信号

0 0 RW ctl_rx_check_mcast_gcp

1 0 RW ctl_rx_check_ucast_gcp

2 0 RW ctl_rx_check_sa_gcp

3 0 RW ctl_rx_check_etype_gcp

4 0 RW ctl_rx_check_opcode_gcp

5 0 RW ctl_rx_check_mcast_pcp

6 0 RW ctl_rx_check_ucast_pcp

7 0 RW ctl_rx_check_sa_pcp

8 0 RW ctl_rx_check_etype_pcp

9 0 RW ctl_rx_check_opcode_pcp

10 0 RW ctl_rx_check_mcast_gpp

11 0 RW ctl_rx_check_ucast_gpp

12 0 RW ctl_rx_check_sa_gpp

13 0 RW ctl_rx_check_etype_gpp

10G/25G 高速 Ethernet v1.3 58PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

CONFIGURATION_RX_FLOW_CONTROL_PPP_ETYPE_OP_REG : 009C

CONFIGURATION_RX_FLOW_CONTROL_GPP_ETYPE_OP_REG : 00A0

CONFIGURATION_RX_FLOW_CONTROL_GCP_PCP_TYPE_REG : 00A4

CONFIGURATION_RX_FLOW_CONTROL_PCP_OP_REG : 00A8

CONFIGURATION_RX_FLOW_CONTROL_GCP_OP_REG : 00AC

14 0 RW ctl_rx_check_opcode_gpp

15 0 RW ctl_rx_check_mcast_ppp

16 0 RW ctl_rx_check_ucast_ppp

17 0 RW ctl_rx_check_sa_ppp

18 0 RW ctl_rx_check_etype_ppp

19 0 RW ctl_rx_check_opcode_ppp

表 2‐63 : CONFIGURATION_RX_FLOW_CONTROL_PPP_ETYPE_OP_REG : 009C

ビッ ト デフォルト 種類 信号

15:0 34,824 RW ctl_rx_etype_ppp

31:16 257 RW ctl_rx_opcode_ppp

表 2‐64 : CONFIGURATION_RX_FLOW_CONTROL_GPP_ETYPE_OP_REG : 00A0

ビッ ト デフォルト 種類 信号

15:0 34,824 RW ctl_rx_etype_gpp

31:16 1 RW ctl_rx_opcode_gpp

表 2‐65 : CONFIGURATION_RX_FLOW_CONTROL_GCP_PCP_TYPE_REG : 00A4

ビッ ト デフォルト 種類 信号

15:0 34,824 RW ctl_rx_etype_gcp

31:16 34,824 RW ctl_rx_etype_pcp

表 2‐66 : CONFIGURATION_RX_FLOW_CONTROL_PCP_OP_REG : 00A8

ビッ ト デフォルト 種類 信号

15:0 257 RW ctl_rx_opcode_min_pcp

31:16 257 RW ctl_rx_opcode_max_pcp

表 2‐67 : CONFIGURATION_RX_FLOW_CONTROL_GCP_OP_REG : 00AC

ビッ ト デフォルト 種類 信号

15:0 1 RW ctl_rx_opcode_min_gcp

31:16 6 RW ctl_rx_opcode_max_gcp

表 2‐62 : CONFIGURATION_RX_FLOW_CONTROL_REG2 : 0098  (続き)

ビッ ト デフォルト 種類 信号

10G/25G 高速 Ethernet v1.3 59PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

CONFIGURATION_RX_FLOW_CONTROL_DA_REG1_LSB : 00B0

CONFIGURATION_RX_FLOW_CONTROL_DA_REG1_MSB : 00B4

CONFIGURATION_RX_FLOW_CONTROL_DA_REG2_LSB : 00B8

CONFIGURATION_RX_FLOW_CONTROL_DA_REG2_MSB : 00BC

CONFIGURATION_RX_FLOW_CONTROL_SA_REG1_LSB : 00C0

CONFIGURATION_RX_FLOW_CONTROL_SA_REG1_MSB : 00C4

表 2‐68 : CONFIGURATION_RX_FLOW_CONTROL_DA_REG1_LSB : 00B0

ビッ ト デフォルト 種類 信号

31:0 0 RW ctl_rx_pause_da_ucast[31:0]

表 2‐69 : CONFIGURATION_RX_FLOW_CONTROL_DA_REG1_MSB : 00B4

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_rx_pause_da_ucast[47:32]

表 2‐70 : CONFIGURATION_RX_FLOW_CONTROL_DA_REG2_LSB : 00B8

ビッ ト デフォルト 種類 信号

31:0 0 RW ctl_rx_pause_da_mcast[31:0]

表 2‐71 : CONFIGURATION_RX_FLOW_CONTROL_DA_REG2_MSB : 00BC

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_rx_pause_da_mcast[47:32]

表 2‐72 : CONFIGURATION_RX_FLOW_CONTROL_SA_REG1_LSB : 00C0

ビッ ト デフォルト 種類 信号

31:0 0 RW ctl_rx_pause_sa[31:0]

表 2‐73 : CONFIGURATION_RX_FLOW_CONTROL_SA_REG1_MSB : 00C4

ビッ ト デフォルト 種類 信号

15:0 0 RW ctl_rx_pause_sa[47:32]

10G/25G 高速 Ethernet v1.3 60PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

CONFIGURATION_RSFEC_REG : 00D0

CONFIGURATION_FEC_REG : 00D4

CONFIGURATION_AN_CONTROL_REG1 : 00E0

CONFIGURATION_AN_CONTROL_REG2 : 00E4

表 2‐74 : CONFIGURATION_RSFEC_REG : 00D0

ビッ ト デフォルト 種類 信号

0 0 RW ctl_rsfec_enable

1 0 RW ctl_rsfec_consortium_25g

2 0 RW ctl_rx_rsfec_enable_indication

3 0 RW ctl_rx_rsfec_enable_correction

5 0 RW ctl_rsfec_ieee_error_indication_mode

表 2‐75 : CONFIGURATION_FEC_REG : 00D4

ビッ ト デフォルト 種類 信号

0 0 RW ctl_fec_rx_enable

1 0 RW ctl_fec_tx_enable

2 0 RW ctl_fec_enable_error_to_pcs

表 2‐76 : CONFIGURATION_AN_CONTROL_REG1 : 00E0

ビッ ト デフォルト 種類 信号

0 0 RW ctl_autoneg_enable

1 1 RW ctl_autoneg_bypass

9:2 0 RW ctl_an_nonce_seed

10 0 RW ctl_an_pseudo_sel

11 0 RW ctl_restart_negotiation

12 0 RW ctl_an_local_fault

表 2‐77 : CONFIGURATION_AN_CONTROL_REG2 : 00E4

ビッ ト デフォルト 種類 信号

0 0 RW ctl_an_pause

1 0 RW ctl_an_asmdir

16 0 RW ctl_an_fec_10g_request

17 0 RW ctl_an_fec_ability_override

18 0 RW ctl_an_cl91_fec_request

19 0 RW ctl_an_cl91_fec_ability

20 0 RW ctl_an_fec_25g_rs_request

21 0 RW ctl_an_fec_25g_baser_request

10G/25G 高速 Ethernet v1.3 61PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

CONFIGURATION_AN_ABILITY : 00F8

CONFIGURATION_LT_CONTROL_REG1: 0100

CONFIGURATION_LT_TRAINED_REG : 0104

CONFIGURATION_LT_PRESET_REG : 0108

CONFIGURATION_LT_INIT_REG : 010C

表 2‐78 : CONFIGURATION_AN_ABILITY : 00F8

ビッ ト デフォルト 種類 信号

0 0 RW ctl_an_ability_1000base_kx

1 0 RW ctl_an_ability_10gbase_kx4

2 0 RW ctl_an_ability_10gbase_kr

3 0 RW ctl_an_ability_40gbase_kr4

4 0 RW ctl_an_ability_40gbase_cr4

5 0 RW ctl_an_ability_100gbase_cr10

6 0 RW ctl_an_ability_100gbase_kp4

7 0 RW ctl_an_ability_100gbase_kr4

8 0 RW ctl_an_ability_100gbase_cr4

9 0 RW ctl_an_ability_25gbase_krcr_s

10 0 RW ctl_an_ability_25gbase_krcr

11 0 RW ctl_an_ability_25gbase_kr1

12 0 RW ctl_an_ability_25gbase_cr1

13 0 RW ctl_an_ability_50gbase_kr2

14 0 RW ctl_an_ability_50gbase_cr2

表 2‐79 : CONFIGURATION_LT_CONTROL_REG1 : 0100

ビッ ト デフォルト 種類 信号

0 0 RW ctl_lt_training_enable

1 0 RW ctl_lt_restart_training

表 2‐80 : CONFIGURATION_LT_TRAINED_REG : 0104

ビッ ト デフォルト 種類 信号

0 0 RW ctl_lt_rx_trained

表 2‐81 : CONFIGURATION_LT_PRESET_REG : 0108

ビッ ト デフォルト 種類 信号

0 0 RW ctl_lt_preset_to_tx

表 2‐82 : CONFIGURATION_LT_INIT_REG : 010C

ビッ ト デフォルト 種類 信号

0 0 RW ctl_lt_initialize_to_tx

10G/25G 高速 Ethernet v1.3 62PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

CONFIGURATION_LT_SEED_REG0 : 0110

CONFIGURATION_LT_COEFFICIENT_REG0 : 0130

表 2‐83 : CONFIGURATION_LT_SEED_REG0 : 0110

ビッ ト デフォルト 種類 信号

10:0 0 RW ctl_lt_pseudo_seed0

表 2‐84 : CONFIGURATION_LT_COEFFICIENT_REG0 : 0130

ビッ ト デフォルト 種類 信号

1:0 0 RW ctl_lt_k_p1_to_tx0

3:2 0 RW ctl_lt_k0_to_tx0

5:4 0 RW ctl_lt_k_m1_to_tx0

7:6 0 RW ctl_lt_stat_p1_to_tx0

9:8 0 RW ctl_lt_stat0_to_tx0

11:10 0 RW ctl_lt_stat_m1_to_tx0

10G/25G 高速 Ethernet v1.3 63PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

ステータス レジスタ

表 2-85 から表 2-102 に、 ステータス レジスタのビッ ト定義を示します。

一部のビッ トはステ ィ ッキー ビッ トで、 一度設定した High または Low にラ ッチされます。 これらは、 種類の欄にLH (High にラ ッチ) または LL (Low にラ ッチ) で示されています。

各信号については、 「ポートの説明」 で説明しています。

STAT_TX_STATUS_REG1 : 0400 

STAT_RX_STATUS_REG1: 0404

STAT_STATUS_REG1 : 0408

STAT_RX_BLOCK_LOCK_REG : 040C

表 2‐85 : STAT_TX_STATUS_REG1 : 0400 

ビッ ト デフォルト 種類 信号

0 0 RO LH stat_tx_local_fault

表 2‐86 : STAT_RX_STATUS_REG1 : 0404

ビッ ト デフォルト 種類 信号

4 0 RO LH stat_rx_hi_ber

5 0 RO LH stat_rx_remote_fault(1)

6 0 RO LH stat_rx_local_fault

7 0 RO LH stat_rx_internal_local_fault(1)

8 0 RO LH stat_rx_received_local_fault(1)

9 0 RO LH stat_rx_bad_preamble(1)

10 0 RO LH stat_rx_bad_sfd(1)

11 0 RO LH stat_rx_got_signal_os(1)

注記 :

1. MAC+PCS の場合のみです。

表 2‐87 : STAT_STATUS_REG1 : 0408

ビッ ト デフォルト 種類 信号

0 0 RO LH stat_tx_fifo_error(1)

4 0 RO LH stat_tx_ptp_fifo_read_error

5 0 RO LH stat_tx_ptp_fifo_write_error

16 0 RO LH stat_rx_fifo_error(1)

注記 :

1. PCS の場合のみです。

表 2‐88 : STAT_RX_BLOCK_LOCK_REG : 040C

ビッ ト デフォルト 種類 信号

0 0 RO LL stat_rx_block_lock

10G/25G 高速 Ethernet v1.3 64PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_RX_RSFEC_STATUS_REG : 0444

STAT_RX_FEC_STATUS_REG : 0448

STAT_TX_RSFEC_STATUS_REG : 044C

STAT_TX_FLOW_CONTROL_REG1: 0450

STAT_RX_FLOW_CONTROL_REG1 : 0454

STAT_AN_STATUS : 0458

表 2‐89 : STAT_RX_RSFEC_STATUS_REG : 0444

ビッ ト デフォルト 種類 信号

0 0 RO LL stat_rx_rsfec_lane_alignment_status

1 0 RO LL stat_rx_rsfec_hi_ser

表 2‐90 : STAT_RX_FEC_STATUS_REG : 0448

ビッ ト デフォルト 種類 信号

0 0 RO LL stat_fec_rx_lock

16 0 RO LL stat_fec_lock_error

表 2‐91 : STAT_TX_RSFEC_STATUS_REG : 044C

ビッ ト デフォルト 種類 信号

0 0 RO LL stat_tx_rsfec_lane_alignment_status

表 2‐92 : STAT_TX_FLOW_CONTROL_REG1 : 0450

ビッ ト デフォルト 種類 信号

8:0 0 RO LH stat_tx_pause_valid

表 2‐93 : STAT_RX_FLOW_CONTROL_REG1 : 0454

ビッ ト デフォルト 種類 信号

8:0 0 RO LH stat_rx_pause_req

17:9 0 RO LH stat_rx_pause_valid

表 2‐94 : STAT_AN_STATUS : 0458

ビッ ト デフォルト 種類 信号

0 0 RO stat_an_fec_enable

1 0 RO stat_an_rs_fec_enable

2 0 RO stat_an_autoneg_complete

3 0 RO stat_an_parallel_detection_fault

4 0 RO stat_an_tx_pause_enable

5 0 RO stat_an_rx_pause_enable

10G/25G 高速 Ethernet v1.3 65PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_AN_ABILITY : 045C

6 0 RO LH stat_an_lp_ability_valid

7 0 RO stat_an_lp_autoneg_able

8 0 RO stat_an_lp_pause

9 0 RO stat_an_lp_asm_dir

10 0 RO stat_an_lp_rf

11 0 RO stat_an_lp_fec_10g_ability

12 0 RO stat_an_lp_fec_10g_request

13 0 RO LH stat_an_lp_extended_ability_valid

17:14 0 RO stat_an_lp_ability_extended_fec

18 0 RO stat_an_lp_fec_25g_rs_request

19 0 RO stat_an_lp_fec_25g_baser_request

表 2‐95 : STAT_AN_ABILITY : 045C

ビッ ト デフォルト 種類 信号

0 0 RO stat_an_lp_ability_1000base_kx

1 0 RO stat_an_lp_ability_10gbase_kx4

2 0 RO stat_an_lp_ability_10gbase_kr

3 0 RO stat_an_lp_ability_40gbase_kr4

4 0 RO stat_an_lp_ability_40gbase_cr4

5 0 RO stat_an_lp_ability_100gbase_cr10

6 0 RO stat_an_lp_ability_100gbase_kp4

7 0 RO stat_an_lp_ability_100gbase_kr4

8 0 RO stat_an_lp_ability_100gbase_cr4

9 0 RO stat_an_lp_ability_25gbase_krcr_s

10 0 RO stat_an_lp_ability_25gbase_krcr

11 0 RO stat_an_lp_ability_25gbase_kr1

12 0 RO stat_an_lp_ability_25gbase_cr1

13 0 RO stat_an_lp_ability_50gbase_kr2

14 0 RO stat_an_lp_ability_50gbase_cr2

表 2‐94 : STAT_AN_STATUS : 0458  (続き)

ビッ ト デフォルト 種類 信号

10G/25G 高速 Ethernet v1.3 66PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_AN_LINK_CTL : 0460

STAT_LT_STATUS_REG1: 0464

STAT_LT_STATUS_REG2: 0468

STAT_LT_STATUS_REG3 : 046C

表 2‐96 : STAT_AN_LINK_CTL : 0460

ビッ ト デフォルト 種類 信号

1:0 0 RO stat_an_link_cntl_1000base_kx

3:2 0 RO stat_an_link_cntl_10gbase_kx4

5:4 0 RO stat_an_link_cntl_10gbase_kr

7:6 0 RO stat_an_link_cntl_40gbase_kr4

9:8 0 RO stat_an_link_cntl_40gbase_cr4

11:10 0 RO stat_an_link_cntl_100gbase_cr10

13:12 0 RO stat_an_link_cntl_100gbase_kp4

15:14 0 RO stat_an_link_cntl_100gbase_kr4

17:16 0 RO stat_an_link_cntl_100gbase_cr4

19:18 0 RO stat_an_link_cntl_25gbase_krcr_s

21:20 0 RO stat_an_link_cntl_25gbase_krcr

23:22 0 RO stat_an_link_cntl_25gbase_kr1

25:24 0 RO stat_an_link_cntl_25gbase_cr1

27:26 0 RO stat_an_link_cntl_50gbase_kr2

29:28 0 RO stat_an_link_cntl_50gbase_cr2

表 2‐97 : STAT_LT_STATUS_REG1 : 0464

ビッ ト デフォルト 種類 信号

0 0 RO stat_lt_initialize_from_rx

16 0 RO stat_lt_preset_from_rx

表 2‐98 : STAT_LT_STATUS_REG2 : 0468

ビッ ト デフォルト 種類 信号

0 0 RO stat_lt_training

16 0 RO stat_lt_frame_lock

表 2‐99 : STAT_LT_STATUS_REG3 : 046C

ビッ ト デフォルト 種類 信号

0 0 RO stat_lt_signal_detect

16 0 RO stat_lt_training_fail

10G/25G 高速 Ethernet v1.3 67PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_LT_STATUS_REG4 : 0470

STAT_LT_COEFFICIENT0_REG : 0474

STAT_RX_VALID_CTRL_CODE : 0494

統計カウンター

表 2-103 から表 2-242 に、 統計カウンターのビッ ト定義を示します。

カウンターは 48 ビッ トで、 表に示すよ うに MSB と LSB を含む 2 つの 32 ビッ ト アドレス空間が必要です。 すべてのカウンターのデフォルト値は 0 です。 tick_reg (または pm_tick) で読み出しが実行される と、 カウンターはク リアされますが、 カウン ト値を含むレジスタはその値を保持します。 各カウンターは FFFFFFFFFFFF (16 進数) で飽和状態となり ます。

各信号については、 「ポートの説明」 で説明しています。

STATUS_CYCLE_COUNT_LSB : 0500 

STATUS_CYCLE_COUNT_MSB : 0504

表 2‐100 : STAT_LT_STATUS_REG4 : 0470

ビッ ト デフォルト 種類 信号

0 0 RO LH stat_lt_rx_sof

表 2‐101 : STAT_LT_COEFFICIENT0_REG : 0474

ビッ ト デフォルト 種類 信号

1:0 0 RO stat_lt_k_p1_from_rx0

3:2 0 RO stat_lt_k0_from_rx0

5:4 0 RO stat_lt_k_m1_from_rx0

7:6 0 RO stat_lt_stat_p1_from_rx0

9:8 0 RO stat_lt_stat0_from_rx0

11:10 0 RO stat_lt_stat_m1_from_rx0

表 2‐102 : STAT_RX_VALID_CTRL_CODE : 0494

ビッ ト デフォルト 種類 信号

0 0 RO LH stat_rx_valid_ctrl_code

表 2‐103 : STATUS_CYCLE_COUNT_LSB : 0500 

ビッ ト デフォルト 種類 信号

31:0 0 RO HIST stat_cycle_count[31:0]

表 2‐104 : STATUS_CYCLE_COUNT_MSB : 0504

ビッ ト デフォルト 種類 信号

15:0 0 RO HIST stat_cycle_count[47:32]

10G/25G 高速 Ethernet v1.3 68PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_RX_FRAMING_ERR_LSB : 0648

STAT_RX_FRAMING_ERR_MSB : 064C

STAT_RX_BAD_CODE_LSB : 0660

STAT_RX_BAD_CODE_MSB : 0664

STAT_RX_ERROR_LSB : 0668

STAT_RX_ERROR_MSB : 066C

表 2‐105 : STAT_RX_FRAMING_ERR_LSB : 0648

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_framing_err_count[31:0]

表 2‐106 : STAT_RX_FRAMING_ERR_MSB : 064C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_framing_err_count[48-1:32]

表 2‐107 : STAT_RX_BAD_CODE_LSB : 0660

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_bad_code_count[31:0]

表 2‐108 : STAT_RX_BAD_CODE_MSB : 0664

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_bad_code_count[47:32]

表 2‐109 : STAT_RX_ERROR_LSB : 0668

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_error_count[31:0]

表 2‐110 : STAT_RX_ERROR_MSB : 066C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_error_count[47:32]

10G/25G 高速 Ethernet v1.3 69PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_RX_RSFEC_CORRECTED_CW_INC_LSB : 0670

STAT_RX_RSFEC_CORRECTED_CW_INC_MSB : 0674

STAT_RX_RSFEC_UNCORRECTED_CW_INC_LSB : 0678

STAT_RX_RSFEC_UNCORRECTED_CW_INC_MSB : 067C

STAT_RX_RSFEC_ERR_COUNT0_INC_LSB : 0680

STAT_RX_RSFEC_ERR_COUNT0_INC_MSB : 0684

STAT_TX_FRAME_ERROR_LSB : 06A0

STAT_TX_FRAME_ERROR_MSB : 06A4

表 2‐111 : STAT_RX_RSFEC_CORRECTED_CW_INC_LSB : 0670

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_rsfec_corrected_cw_inc_count[31:0]

表 2‐112 : STAT_RX_RSFEC_CORRECTED_CW_INC_MSB : 0674

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_rsfec_corrected_cw_inc_count[47:32]

表 2‐113 : STAT_RX_RSFEC_UNCORRECTED_CW_INC_LSB : 0678

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_rsfec_uncorrected_cw_inc_count[31:0]

表 2‐114 : STAT_RX_RSFEC_UNCORRECTED_CW_INC_MSB : 067C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_rsfec_uncorrected_cw_inc_count[47:32]

表 2‐115 : STAT_RX_RSFEC_ERR_COUNT0_INC_LSB : 0680

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_rsfec_err_count_inc_count[31:0]

表 2‐116 : STAT_RX_RSFEC_ERR_COUNT0_INC_MSB : 0684

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_rsfec_err_count_inc_count[47:32]

表 2‐117 : STAT_TX_FRAME_ERROR_LSB : 06A0

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_frame_error_count[31:0]

表 2‐118 : STAT_TX_FRAME_ERROR_MSB : 06A4

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_frame_error_count[47:32]

10G/25G 高速 Ethernet v1.3 70PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_TX_TOTAL_PACKETS_LSB : 0700

STAT_TX_TOTAL_PACKETS_MSB : 0704

STAT_TX_TOTAL_GOOD_PACKETS_LSB : 0708

STAT_TX_TOTAL_GOOD_PACKETS_MSB : 070C

STAT_TX_TOTAL_BYTES_LSB : 0710

STAT_TX_TOTAL_BYTES_MSB : 0714

STAT_TX_TOTAL_GOOD_BYTES_LSB : 0718

STAT_TX_TOTAL_GOOD_BYTES_MSB : 071C

表 2‐119 : STAT_TX_TOTAL_PACKETS_LSB : 0700

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_total_packets_count[31:0]

表 2‐120 : STAT_TX_TOTAL_PACKETS_MSB : 0704

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_total_packets_count[47:32]

表 2‐121 : STAT_TX_TOTAL_GOOD_PACKETS_LSB : 0708

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_total_good_packets_count[31:0]

表 2‐122 : STAT_TX_TOTAL_GOOD_PACKETS_MSB : 070C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_total_good_packets_count[47:32]

表 2‐123 : STAT_TX_TOTAL_BYTES_LSB : 0710

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_total_bytes_count[31:0]

表 2‐124 : STAT_TX_TOTAL_BYTES_MSB : 0714

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_total_bytes_count[47:32]

表 2‐125 : STAT_TX_TOTAL_GOOD_BYTES_LSB : 0718

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_total_good_bytes_count[31:0]

表 2‐126 : STAT_TX_TOTAL_GOOD_BYTES_MSB : 071C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_total_good_bytes_count[47:32]

10G/25G 高速 Ethernet v1.3 71PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_TX_PACKET_64_BYTES_LSB: 0720

STAT_TX_PACKET_64_BYTES_MSB: 0724

STAT_TX_PACKET_65_127_BYTES_LSB: 0728

STAT_TX_PACKET_65_127_BYTES_MSB : 072C

STAT_TX_PACKET_128_255_BYTES_LSB : 0730

STAT_TX_PACKET_128_255_BYTES_MSB : 0734

STAT_TX_PACKET_256_511_BYTES_LSB : 0738

STAT_TX_PACKET_256_511_BYTES_MSB : 073C

表 2‐127 : STAT_TX_PACKET_64_BYTES_LSB : 0720

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_64_bytes_count[31:0]

表 2‐128 : STAT_TX_PACKET_64_BYTES_MSB : 0724

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_64_bytes_count[47:32]

表 2‐129 : STAT_TX_PACKET_65_127_BYTES_LSB : 0728

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_65_127_bytes_count[31:0]

表 2‐130 : STAT_TX_PACKET_65_127_BYTES_MSB : 072C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_65_127_bytes_count[47:32]

表 2‐131 : STAT_TX_PACKET_128_255_BYTES_LSB : 0730

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_128_255_bytes_count[31:0]

表 2‐132 : STAT_TX_PACKET_128_255_BYTES_MSB : 0734

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_128_255_bytes_count[47:32]

表 2‐133 : STAT_TX_PACKET_256_511_BYTES_LSB : 0738

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_256_511_bytes_count[31:0]

表 2‐134 : STAT_TX_PACKET_256_511_BYTES_MSB : 073C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_256_511_bytes_count[47:32]

10G/25G 高速 Ethernet v1.3 72PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_TX_PACKET_512_1023_BYTES_LSB : 0740

STAT_TX_PACKET_512_1023_BYTES_MSB: 0744

STAT_TX_PACKET_1024_1518_BYTES_LSB : 0748

STAT_TX_PACKET_1024_1518_BYTES_MSB : 074C

STAT_TX_PACKET_1519_1522_BYTES_LSB : 0750

STAT_TX_PACKET_1519_1522_BYTES_MSB : 0754

STAT_TX_PACKET_1523_1548_BYTES_LSB : 0758

STAT_TX_PACKET_1523_1548_BYTES_MSB: 075C

表 2‐135 : STAT_TX_PACKET_512_1023_BYTES_LSB : 0740

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_512_1023_bytes_count[31:0]

表 2‐136 : STAT_TX_PACKET_512_1023_BYTES_MSB : 0744

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_512_1023_bytes_count[47:32]

表 2‐137 : STAT_TX_PACKET_1024_1518_BYTES_LSB : 0748

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_1024_1518_bytes_count[31:0]

表 2‐138 : STAT_TX_PACKET_1024_1518_BYTES_MSB : 074C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_1024_1518_bytes_count[47:32]

表 2‐139 : STAT_TX_PACKET_1519_1522_BYTES_LSB : 0750

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_1519_1522_bytes_count[31:0]

表 2‐140 : STAT_TX_PACKET_1519_1522_BYTES_MSB : 0754

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_1519_1522_bytes_count[47:32]

表 2‐141 : STAT_TX_PACKET_1523_1548_BYTES_LSB : 0758

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_1523_1548_bytes_count[31:0]

表 2‐142 : STAT_TX_PACKET_1523_1548_BYTES_MSB : 075C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_1523_1548_bytes_count[47:32]

10G/25G 高速 Ethernet v1.3 73PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_TX_PACKET_1549_2047_BYTES_LSB : 0760

STAT_TX_PACKET_1549_2047_BYTES_MSB: 0764

STAT_TX_PACKET_2048_4095_BYTES_LSB : 0768

STAT_TX_PACKET_2048_4095_BYTES_MSB : 076C

STAT_TX_PACKET_4096_8191_BYTES_LSB: 0770

STAT_TX_PACKET_4096_8191_BYTES_MSB: 0774

STAT_TX_PACKET_8192_9215_BYTES_LSB: 0778

STAT_TX_PACKET_8192_9215_BYTES_MSB : 077C

表 2‐143 : STAT_TX_PACKET_1549_2047_BYTES_LSB : 0760

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_1549_2047_bytes_count[31:0]

表 2‐144 : STAT_TX_PACKET_1549_2047_BYTES_MSB : 0764

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_1549_2047_bytes_count[47:32]

表 2‐145 : STAT_TX_PACKET_2048_4095_BYTES_LSB : 0768

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_2048_4095_bytes_count[31:0]

表 2‐146 : STAT_TX_PACKET_2048_4095_BYTES_MSB : 076C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_2048_4095_bytes_count[47:32]

表 2‐147 : STAT_TX_PACKET_4096_8191_BYTES_LSB : 0770

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_4096_8191_bytes_count[31:0]

表 2‐148 : STAT_TX_PACKET_4096_8191_BYTES_MSB : 0774

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_4096_8191_bytes_count[47:32]

表 2‐149 : STAT_TX_PACKET_8192_9215_BYTES_LSB : 0778

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_8192_9215_bytes_count[31:0]

表 2‐150 : STAT_TX_PACKET_8192_9215_BYTES_MSB : 077C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_8192_9215_bytes_count[47:32]

10G/25G 高速 Ethernet v1.3 74PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_TX_PACKET_LARGE_LSB : 0780

STAT_TX_PACKET_LARGE_MSB : 0784

STAT_TX_PACKET_SMALL_LSB : 0788

STAT_TX_PACKET_SMALL_MSB : 078C

STAT_TX_BAD_FCS_LSB : 07B8

STAT_TX_BAD_FCS_MSB : 07BC

STAT_TX_UNICAST_LSB : 07D0

STAT_TX_UNICAST_MSB : 07D4

表 2‐151 : STAT_TX_PACKET_LARGE_LSB : 0780

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_large_count[31:0]

表 2‐152 : STAT_TX_PACKET_LARGE_MSB : 0784

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_large_count[47:32]

表 2‐153 : STAT_TX_PACKET_SMALL_LSB : 0788

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_packet_small_count[31:0]

表 2‐154 : STAT_TX_PACKET_SMALL_MSB : 078C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_packet_small_count[47:32]

表 2‐155 : STAT_TX_BAD_FCS_LSB : 07B8

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_bad_fcs_count[31:0]

表 2‐156 : STAT_TX_BAD_FCS_MSB : 07BC

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_bad_fcs_count[47:32]

表 2‐157 : STAT_TX_UNICAST_LSB : 07D0

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_unicast_count[31:0]

表 2‐158 : STAT_TX_UNICAST_MSB : 07D4

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_unicast_count[47:32]

10G/25G 高速 Ethernet v1.3 75PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_TX_MULTICAST_LSB : 07D8

STAT_TX_MULTICAST_MSB : 07DC

STAT_TX_BROADCAST_LSB : 07E0

STAT_TX_BROADCAST_MSB : 07E4

STAT_TX_VLAN_LSB : 07E8

STAT_TX_VLAN_MSB : 07EC

STAT_TX_PAUSE_LSB : 07F0

STAT_TX_PAUSE_MSB : 07F4

表 2‐159 : STAT_TX_MULTICAST_LSB : 07D8

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_multicast_count[31:0]

表 2‐160 : STAT_TX_MULTICAST_MSB : 07DC

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_multicast_count[47:32]

表 2‐161 : STAT_TX_BROADCAST_LSB : 07E0

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_broadcast_count[31:0]

表 2‐162 : STAT_TX_BROADCAST_MSB : 07E4

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_broadcast_count[47:32]

表 2‐163 : STAT_TX_VLAN_LSB : 07E8

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_vlan_count[31:0]

表 2‐164 : STAT_TX_VLAN_MSB : 07EC

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_vlan_count[47:32]

表 2‐165 : STAT_TX_PAUSE_LSB : 07F0

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_pause_count[31:0]

表 2‐166 : STAT_TX_PAUSE_MSB : 07F4

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_pause_count[47:32]

10G/25G 高速 Ethernet v1.3 76PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_TX_USER_PAUSE_LSB : 07F8

STAT_TX_USER_PAUSE_MSB : 07FC

STAT_RX_TOTAL_PACKETS_LSB : 0808

STAT_RX_TOTAL_PACKETS_MSB : 080C

STAT_RX_TOTAL_GOOD_PACKETS_LSB : 0810

STAT_RX_TOTAL_GOOD_PACKETS_MSB : 0814

STAT_RX_TOTAL_BYTES_LSB : 0818

STAT_RX_TOTAL_BYTES_MSB : 081C

表 2‐167 : STAT_TX_USER_PAUSE_LSB : 07F8

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_tx_user_pause_count[31:0]

表 2‐168 : STAT_TX_USER_PAUSE_MSB : 07FC

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_tx_user_pause_count[47:32]

表 2‐169 : STAT_RX_TOTAL_PACKETS_LSB: 0808

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_total_packets_count[31:0]

表 2‐170 : STAT_RX_TOTAL_PACKETS_MSB : 080C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_total_packets_count[47:32]

表 2‐171 : STAT_RX_TOTAL_GOOD_PACKETS_LSB : 0810

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_total_good_packets_count[31:0]

表 2‐172 : STAT_RX_TOTAL_GOOD_PACKETS_MSB : 0814

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_total_good_packets_count[47:32]

表 2‐173 : STAT_RX_TOTAL_BYTES_LSB : 0818

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_total_bytes_count[31:0]

表 2‐174 : STAT_RX_TOTAL_BYTES_MSB : 081C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_total_bytes_count[47:32]

10G/25G 高速 Ethernet v1.3 77PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_RX_TOTAL_GOOD_BYTES_LSB : 0820

STAT_RX_TOTAL_GOOD_BYTES_MSB : 0824

STAT_RX_PACKET_64_BYTES_LSB: 0828

STAT_RX_PACKET_64_BYTES_MSB : 082C

STAT_RX_PACKET_65_127_BYTES_LSB: 0830

STAT_RX_PACKET_65_127_BYTES_MSB : 0834

STAT_RX_PACKET_128_255_BYTES_LSB : 0838

STAT_RX_PACKET_128_255_BYTES_MSB : 083C

表 2‐175 : STAT_RX_TOTAL_GOOD_BYTES_LSB : 0820

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_total_good_bytes_count[31:0]

表 2‐176 : STAT_RX_TOTAL_GOOD_BYTES_MSB : 0824

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_total_good_bytes_count[47:32]

表 2‐177 : STAT_RX_PACKET_64_BYTES_LSB : 0828

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_64_bytes_count[31:0]

表 2‐178 : STAT_RX_PACKET_64_BYTES_MSB : 082C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_64_bytes_count[47:32]

表 2‐179 : STAT_RX_PACKET_65_127_BYTES_LSB : 0830

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_65_127_bytes_count[31:0]

表 2‐180 : STAT_RX_PACKET_65_127_BYTES_MSB : 0834

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_65_127_bytes_count[47:32]

表 2‐181 : STAT_RX_PACKET_128_255_BYTES_LSB : 0838

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_128_255_bytes_count[31:0]

表 2‐182 : STAT_RX_PACKET_128_255_BYTES_MSB : 083C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_128_255_bytes_count[47:32]

10G/25G 高速 Ethernet v1.3 78PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_RX_PACKET_256_511_BYTES_LSB : 0840

STAT_RX_PACKET_256_511_BYTES_MSB : 0844

STAT_RX_PACKET_512_1023_BYTES_LSB : 0848

STAT_RX_PACKET_512_1023_BYTES_MSB : 084C

STAT_RX_PACKET_1024_1518_BYTES_LSB: 0850

STAT_RX_PACKET_1024_1518_BYTES_MSB : 0854

STAT_RX_PACKET_1519_1522_BYTES_LSB : 0858

STAT_RX_PACKET_1519_1522_BYTES_MSB: 085C

表 2‐183 : STAT_RX_PACKET_256_511_BYTES_LSB : 0840

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_256_511_bytes_count[31:0]

表 2‐184 : STAT_RX_PACKET_256_511_BYTES_MSB : 0844

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_256_511_bytes_count[47:32]

表 2‐185 : STAT_RX_PACKET_512_1023_BYTES_LSB : 0848

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_512_1023_bytes_count[31:0]

表 2‐186 : STAT_RX_PACKET_512_1023_BYTES_MSB : 084C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_512_1023_bytes_count[47:32]

表 2‐187 : STAT_RX_PACKET_1024_1518_BYTES_LSB : 0850

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_1024_1518_bytes_count[31:0]

表 2‐188 : STAT_RX_PACKET_1024_1518_BYTES_MSB : 0854

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_1024_1518_bytes_count[47:32]

表 2‐189 : STAT_RX_PACKET_1519_1522_BYTES_LSB : 0858

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_1519_1522_bytes_count[31:0]

表 2‐190 : STAT_RX_PACKET_1519_1522_BYTES_MSB : 085C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_1519_1522_bytes_count[47:32]

10G/25G 高速 Ethernet v1.3 79PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_RX_PACKET_1523_1548_BYTES_LSB : 0860

STAT_RX_PACKET_1523_1548_BYTES_MSB : 0864

STAT_RX_PACKET_1549_2047_BYTES_LSB : 0868

STAT_RX_PACKET_1549_2047_BYTES_MSB : 086C

STAT_RX_PACKET_2048_4095_BYTES_LSB : 0870

STAT_RX_PACKET_2048_4095_BYTES_MSB : 0874

STAT_RX_PACKET_4096_8191_BYTES_LSB : 0878

STAT_RX_PACKET_4096_8191_BYTES_MSB : 087C

表 2‐191 : STAT_RX_PACKET_1523_1548_BYTES_LSB : 0860

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_1523_1548_bytes_count[31:0]

表 2‐192 : STAT_RX_PACKET_1523_1548_BYTES_MSB : 0864

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_1523_1548_bytes_count[47:32]

表 2‐193 : STAT_RX_PACKET_1549_2047_BYTES_LSB : 0868

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_1549_2047_bytes_count[31:0]

表 2‐194 : STAT_RX_PACKET_1549_2047_BYTES_MSB : 086C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_1549_2047_bytes_count[47:32]

表 2‐195 : STAT_RX_PACKET_2048_4095_BYTES_LSB : 0870

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_2048_4095_bytes_count[31:0]

表 2‐196 : STAT_RX_PACKET_2048_4095_BYTES_MSB : 0874

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_2048_4095_bytes_count[47:32]

表 2‐197 : STAT_RX_PACKET_4096_8191_BYTES_LSB : 0878

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_4096_8191_bytes_count[31:0]

表 2‐198 : STAT_RX_PACKET_4096_8191_BYTES_MSB : 087C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_4096_8191_bytes_count[47:32]

10G/25G 高速 Ethernet v1.3 80PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_RX_PACKET_8192_9215_BYTES_LSB : 0880

STAT_RX_PACKET_8192_9215_BYTES_MSB : 0884

STAT_RX_PACKET_LARGE_LSB : 0888

STAT_RX_PACKET_LARGE_MSB : 088C

STAT_RX_PACKET_SMALL_LSB : 0890

STAT_RX_PACKET_SMALL_MSB : 0894

STAT_RX_UNDERSIZE_LSB : 0898

STAT_RX_UNDERSIZE_MSB : 089C

表 2‐199 : STAT_RX_PACKET_8192_9215_BYTES_LSB : 0880

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_8192_9215_bytes_count[31:0]

表 2‐200 : STAT_RX_PACKET_8192_9215_BYTES_MSB : 0884

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_8192_9215_bytes_count[47:32]

表 2‐201 : STAT_RX_PACKET_LARGE_LSB : 0888

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_large_count[31:0]

表 2‐202 : STAT_RX_PACKET_LARGE_MSB : 088C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_large_count[47:32]

表 2‐203 : STAT_RX_PACKET_SMALL_LSB : 0890

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_small_count[31:0]

表 2‐204 : STAT_RX_PACKET_SMALL_MSB : 0894

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_small_count[47:32]

表 2‐205 : STAT_RX_UNDERSIZE_LSB : 0898

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_undersize_count[31:0]

表 2‐206 : STAT_RX_UNDERSIZE_MSB : 089C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_undersize_count[47:32]

10G/25G 高速 Ethernet v1.3 81PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_RX_FRAGMENT_LSB : 08A0

STAT_RX_FRAGMENT_MSB : 08A4

STAT_RX_OVERSIZE_LSB : 08A8

STAT_RX_OVERSIZE_MSB : 08AC

STAT_RX_TOOLONG_LSB : 08B0

STAT_RX_TOOLONG_MSB : 08B4

STAT_RX_JABBER_LSB : 08B8

STAT_RX_JABBER_MSB : 08BC

表 2‐207 : STAT_RX_FRAGMENT_LSB : 08A0

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_fragment_count[31:0]

表 2‐208 : STAT_RX_FRAGMENT_MSB : 08A4

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_fragment_count[47:32]

表 2‐209 : STAT_RX_OVERSIZE_LSB : 08A8

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_oversize_count[31:0]

表 2‐210 : STAT_RX_OVERSIZE_MSB : 08AC

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_oversize_count[47:32]

表 2‐211 : STAT_RX_TOOLONG_LSB : 08B0

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_toolong_count[31:0]

表 2‐212 : STAT_RX_TOOLONG_MSB : 08B4

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_toolong_count[47:32]

表 2‐213 : STAT_RX_JABBER_LSB : 08B8

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_jabber_count[31:0]

表 2‐214 : STAT_RX_JABBER_MSB : 08BC

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_jabber_count[47:32]

10G/25G 高速 Ethernet v1.3 82PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_RX_BAD_FCS_LSB : 08C0

STAT_RX_BAD_FCS_MSB : 08C4

STAT_RX_PACKET_BAD_FCS_LSB : 08C8

STAT_RX_PACKET_BAD_FCS_MSB : 08CC

STAT_RX_STOMPED_FCS_LSB : 08D0

STAT_RX_STOMPED_FCS_MSB : 08D4

STAT_RX_UNICAST_LSB : 08D8

STAT_RX_UNICAST_MSB : 08DC

表 2‐215 : STAT_RX_BAD_FCS_LSB : 08C0

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_bad_fcs_count[31:0]

表 2‐216 : STAT_RX_BAD_FCS_MSB : 08C4

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_bad_fcs_count[47:32]

表 2‐217 : STAT_RX_PACKET_BAD_FCS_LSB : 08C8

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_packet_bad_fcs_count[31:0]

表 2‐218 : STAT_RX_PACKET_BAD_FCS_MSB : 08CC

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_packet_bad_fcs_count[47:32]

表 2‐219 : STAT_RX_STOMPED_FCS_LSB : 08D0

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_stomped_fcs_count[31:0]

表 2‐220 : STAT_RX_STOMPED_FCS_MSB : 08D4

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_stomped_fcs_count[47:32]

表 2‐221 : STAT_RX_UNICAST_LSB : 08D8

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_unicast_count[31:0]

表 2‐222 : STAT_RX_UNICAST_MSB : 08DC

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_unicast_count[47:32]

10G/25G 高速 Ethernet v1.3 83PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_RX_MULTICAST_LSB : 08E0

STAT_RX_MULTICAST_MSB : 08E4

STAT_RX_BROADCAST_LSB : 08E8

STAT_RX_BROADCAST_MSB : 08EC

STAT_RX_VLAN_LSB : 08F0

STAT_RX_VLAN_MSB : 08F4

STAT_RX_PAUSE_LSB : 08F8

STAT_RX_PAUSE_MSB : 08FC

表 2‐223 : STAT_RX_MULTICAST_LSB : 08E0

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_multicast_count[31:0]

表 2‐224 : STAT_RX_MULTICAST_MSB : 08E4

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_multicast_count[47:32]

表 2‐225 : STAT_RX_BROADCAST_LSB : 08E8

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_broadcast_count[31:0]

表 2‐226 : STAT_RX_BROADCAST_MSB : 08EC

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_broadcast_count[47:32]

表 2‐227 : STAT_RX_VLAN_LSB : 08F0

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_vlan_count[31:0]

表 2‐228 : STAT_RX_VLAN_MSB : 08F4

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_vlan_count[47:32]

表 2‐229 : STAT_RX_PAUSE_LSB : 08F8

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_pause_count[31:0]

表 2‐230 : STAT_RX_PAUSE_MSB : 08FC

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_pause_count[47:32]

10G/25G 高速 Ethernet v1.3 84PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_RX_USER_PAUSE_LSB : 0900

STAT_RX_USER_PAUSE_MSB : 0904

STAT_RX_INRANGEERR_LSB : 0908

STAT_RX_INRANGEERR_MSB : 090C

STAT_RX_TRUNCATED_LSB : 0910

STAT_RX_TRUNCATED_MSB : 0914

STAT_RX_TEST_PATTERN_MISMATCH_LSB : 0918

STAT_RX_TEST_PATTERN_MISMATCH_MSB : 091C

表 2‐231 : STAT_RX_USER_PAUSE_LSB : 0900

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_user_pause_count[31:0]

表 2‐232 : STAT_RX_USER_PAUSE_MSB : 0904

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_user_pause_count[47:32]

表 2‐233 : STAT_RX_INRANGEERR_LSB : 0908

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_inrangeerr_count[31:0]

表 2‐234 : STAT_RX_INRANGEERR_MSB : 090C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_inrangeerr_count[47:32]

表 2‐235 : STAT_RX_TRUNCATED_LSB : 0910

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_truncated_count[31:0]

表 2‐236 : STAT_RX_TRUNCATED_MSB : 0914

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_truncated_count[47:32]

表 2‐237 : STAT_RX_TEST_PATTERN_MISMATCH_LSB : 0918

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_rx_test_pattern_mismatch_count[31:0]

表 2‐238 : STAT_RX_TEST_PATTERN_MISMATCH_MSB : 091C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_rx_test_pattern_mismatch_count[47:32]

10G/25G 高速 Ethernet v1.3 85PG210 2016 年 6 月 8 日 japan.xilinx.com

第 2 章 : 製品仕様

STAT_FEC_INC_CORRECT_COUNT_LSB : 0920

STAT_FEC_INC_CORRECT_COUNT_MSB : 0924

STAT_FEC_INC_CANT_CORRECT_COUNT_LSB : 0928

STAT_FEC_INC_CANT_CORRECT_COUNT_MSB : 092C

表 2‐239 : STAT_FEC_INC_CORRECT_COUNT_LSB : 0920

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_fec_inc_correct_count_count[31:0]

表 2‐240 : STAT_FEC_INC_CORRECT_COUNT_MSB : 0924

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_fec_inc_correct_count_count[47:32]

表 2‐241 : STAT_FEC_INC_CANT_CORRECT_COUNT_LSB : 0928

ビッ ト デフォルト 種類 信号

31:0 0 HIST stat_fec_inc_cant_correct_count_count[31:0]

表 2‐242 : STAT_FEC_INC_CANT_CORRECT_COUNT_MSB : 092C

ビッ ト デフォルト 種類 信号

15:0 0 HIST stat_fec_inc_cant_correct_count_count[47:32]

10G/25G 高速 Ethernet v1.3 86PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3章

サブシステムを使用するデザインこの章では、 サブシステムを使用してデザインを完成させるためのガイ ド ラインおよびその他の情報を紹介します。

クロッキングこのセクシ ョ ンでは、 コンポーネン ト サポート ラ ッパー レイヤーにおけるすべての 10G/25G コンフ ィギュレーシ ョンのクロ ッキングについて説明します。機能やオプシ ョ ンによって、基本的に 3 つの異なるクロ ッキング アーキテクチャがあ り ます。

• 「PCS/PMA のみの場合のクロ ッキング」

• 「PCS/PMA ク ロ ッキングを使用する 10G/25G MAC」

• 「PCS/PMA ク ロ ッキングを使用する低レイテンシ 10G/25G MAC」

また 「オート ネゴシエーシ ョ ンと リ ンク ト レーニングのクロ ッキング」 についても説明します。

10G/25G 高速 Ethernet v1.3 87PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

PCS/PMA のみの場合のクロッキング

10G/25G PCS のクロ ッキング アーキテクチャを次に示します。図 3-1 に破線で示すとおり、データパスには 3 つのクロ ッ ク ド メ インがあ り ます。

refclk_p0、 refclk_n0、 tx_serdes_refclk

FPGA の入力には refclk の差動ペアが必要です。 サンプル デザインには、 このク ロ ッ クをシングルエン ド信号refclk に変換するためのバッファーが含まれており、 変換されたクロ ッ ク信号は GT ブロ ッ ク用の基準クロ ッ ク として使用されます。 tx_serdes_refclk は、 refclk から直接派生します。 tx_mii_clk が 802.3 の要件 (25G では390.625MHz の 100ppm 以内で、 10G では 156.25MHz の 100ppm 以内) を満たすよ うに refclk を選択して ください。

tx_mii_clk

tx_mii_clk は、 tx_serdes_refclk と同じ出力です。 TX のパスはすべてこのクロ ッ クで駆動されます。 TX パスの mii バスはこのク ロ ッ ク出力に同期させる必要があ り ます。 TX のすべての制御信号と ステータス信号がこのクロ ッ クを参照します。

rx_serdes_clk

rx_serdes_clk は、 GT ブロ ッ ク内の入力データ ス ト リームから派生します。 入力データ ス ト リームは、 このクロ ッ ク ド メ インの RX コアで処理されます。

rx_clk_out

rx_clk_out 出力信号は、 RX コアで処理される RX の制御信号およびステータス信号の基準クロ ッ ク と して現われます。 周波数は rx_serdes_clk と同じです。

X-Ref Target - Figure 3-1

図 3‐1 : PCS/PMA のクロッキング

gt helper blocksgt_if

tx_top

hsec_cores

refclk_p0refclk_n0

BUFD

refclk

tx_serdes_refclk

rx_serdes_clk

tx_mii_clk

rx_clk_out

rx_top

rx_mii_clk

dclk

10G/25G 高速 Ethernet v1.3 88PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

rx_mii_clk

rx_mii_clk 入力は、 RX XGMII/XXVGMII データ バスに同期するために必要です。 このク ロ ッ ク と RX XGMII/XXVGMII バスは必要な周波数 (25G では 390.625 MHz、 10G では 156.25MHz) の 100ppm 以内になる必要があ り ます。

dclk

dclk 信号は、 使いやすい安定したクロ ッ クである必要があ り ます。 GT を起動させる GT ヘルパー ブロ ッ クの基準周波数と して使用されます。 サンプル デザインの場合、 標準値は 75MHz であ り、 これは VCU107 評価ボードで使用できる 300MHz から容易に生成できます。 正常な動作を行うには、 GT ヘルパー ブロ ッ クが実際の周波数を認識している必要があ り ます。

PCS/PMA クロッキングを使用する  10G/25G MAC 

PCS/PMA ク ロ ッキングを使用する 10/25G MAC のクロ ッキング アーキテクチャを次に示します。 サブシステムのこのバージ ョ ンには、 RX と TX に FIFO が含まれています。 図 3-2 に破線で示すとおり、 データパスには 3 つのクロ ック ド メ インがあ り ます。

refclk_p0、 refclk_n0、 tx_serdes_refclk

FPGA の入力には refclk の差動ペアが必要です。 サンプル デザインには、 このク ロ ッ クをシングルエン ド信号refclk に変換するためのバッファーが含まれており、 変換されたクロ ッ ク信号は GT ブロ ッ クの基準クロ ッ ク と して使用されます。 tx_serdes_refclk は refclk から直接派生します。 tx_serdes_refclk が 802.3 の要件 (25Gでは 390.625MHz の 100ppm 以内で、 10G では 156.25MHz の 100ppm 以内) を満たすよ うに refclk を選択して ください。

tx_clk_out

このクロ ッ クは、 TX AXI4-Stream インターフェイスにデータを送信するためのクロ ッ ク信号であ り、 また TX の制御信号およびステータス信号の基準クロ ッ クにもなり ます。 周波数は tx_serdes_refclk と同じです。

X-Ref Target - Figure 3-2

図 3‐2 : PCS/PMA クロッキングを使用する  10G/25G MAC

dclk

gt helper blocksgt_if

tx_top

hsec_top

refclk_p0refclk_n0

BUFD

refclk

tx_serdes_clk

rx_serdes_clk

tx_clk_out

rx_clk_out

tx_clk

rx_clk

rx_top

• • • • • • •• • • • • •

10G/25G 高速 Ethernet v1.3 89PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

rx_clk_out

rx_clk_out 出力信号は、 RX コアで処理される RX の制御信号およびステータス信号の基準クロ ッ ク と して現われます。 周波数は rx_serdes_clk と同じです。

rx_clk

RX コアの rx_clk 入力信号は、 サンプル デザインにはあ り ません。 代わりに、 TX コアも駆動する tx_clk へ接続されます。 このよ うに接続するこ とで、 RX AXI4-Stream インターフェイス と TX AXI4-Stream インターフェイスが同じ ク ロ ッ ク ド メ インに属します。 これはシステム サイ ドのデータパスにとっては理想的な動作モードです。 必要に応じて、rx_top モジュールから rx_clk 入力を切断して、TX AXI4-Stream インターフェイス とは異なるクロ ッ クでRX AXI4-Stream インターフェイスを駆動するこ と も可能です。この場合、rx_clk の周波数は tx_clk と同じにするか、 それよ り高くする必要があ り ます。

dclk

dclk 信号は、 使いやすい安定したクロ ッ クである必要があ り ます。 GT を起動させる GT ヘルパー ブロ ッ クの基準周波数と して使用されます。 サンプル デザインの場合、 標準値は 75MHz であ り、 これは VCU107 評価ボードで使用できる 300MHz から容易に生成できます。

注記 : GT ヘルパー ブロ ッ クが適切に動作するには、 実際の周波数を認識している必要があ り ます。

PCS/PMA クロッキングを使用する低レイテンシ 10G/25G MAC

図 3-3 に、PCS/PMA ク ロ ッキングを使用する低レイテンシ 10/25G MAC のクロ ッキング アーキテクチャを示します。RX と TX の FIFO を削除するこ とで低レイテンシを実現するため、 必然的にクロ ッキング アーキテクチャが異なります。 図 3-3 に破線で示すとおり、 データパスには 2 つのクロ ッ ク ド メ インがあ り ます。

X-Ref Target - Figure 3-3

図 3‐3 : PCS/PMA クロッキングを使用する低レイテンシ 10G/25G MAC

dclk

gt helper blocksgt_if

rx_top

tx_top

hsec_cores

refclk_p0refclk_n0

BUFD

refclk

tx_serdes_clk

rx_serdes_clk

tx_clk_out

rx_clk_out

• • • • • • •• • • • • •

10G/25G 高速 Ethernet v1.3 90PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

refclk_p0、 refclk_n0、 tx_serdes_refclk

FPGA の入力には refclk の差動ペアが必要です。 サンプル デザインには、 このク ロ ッ クをシングルエン ド信号refclk に変換するためのバッファーが含まれており、 変換されたクロ ッ ク信号は GT ブロ ッ クの基準クロ ッ ク と して使用されます。 tx_serdes_refclk は refclk から直接派生します。 tx_serdes_refclk が 802.3 の要件 (25Gでは 390.625MHz の 100ppm 以内で、 10G では 156.25MHz の 100ppm 以内) を満たすよ うに refclk を選択して ください。

tx_clk_out

このクロ ッ クは、 TX AXI4-Stream インターフェイスにデータを送信するためのクロ ッ ク信号であ り、 また TX の制御信号およびステータス信号の基準クロ ッ クにもなり ます。周波数は tx_serdes_refclk と同じです。 TX FIFO がないため、 tx_axis_tready 信号に即座に応答する必要があ り ます。

rx_clk_out

rx_clk_out 出力信号は、 RX コアで処理される RX の制御信号およびステータス信号の基準クロ ッ ク と して現われます。 周波数は rx_serdes_clk と同じです。 RX FIFO がないため、 このクロ ッ クが RX AXI4-Stream インターフェイスも駆動します。 このクロ ッキング構造の場合、 rx_clk_out と tx_clk_out は別々の周波数で動作し、 相互の位相関係は定義されていません。

dclk

dclk 信号は、 使いやすい安定したクロ ッ クである必要があ り ます。 GT を起動させる GT ヘルパー ブロ ッ クの基準周波数と して使用されます。 サンプル デザインの場合、 標準値は 75MHz であ り、 これは VCU107 評価ボードで使用できる 300MHz から容易に生成できます。 GT ヘルパー ブロ ッ クが適切に動作するには、 実際の周波数を認識している必要があ り ます。

オート  ネゴシエーシ ョ ンと リンク  ト レーニングのクロッキング

図 3-4 に、 オー ト ネゴシエーシ ョ ン と リ ンク ト レーニング ブロ ッ クのク ロ ッキング アーキテクチャを示します。BASE-KR が選択されていない限り、 これらのブロ ッ クはデザインに含まれません。

オート ネゴシエーシ ョ ンと リ ンク ト レーニング ブロ ッ クは、 MAC や PCS とは独立して機能するため、 これらは異なるクロ ッ ク ド メ インに属します。

X-Ref Target - Figure 3-4

図 3‐4 : オート  ネゴシエーシ ョ ンと リンク  ト レーニングのクロッキング

an_pcontrol

tx_serdes_clk

AN_clk

rx_serdes_clk

link training top

tx_serdes_clk

rx_serdes_clk

10G/25G 高速 Ethernet v1.3 91PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

tx_serdes_clk

tx_serdes_clk は、 オート ネゴシエーシ ョ ン と リ ンク ト レーニングのために TX ラ イン側のロジッ クを駆動します。 DME フレームは、 このクロ ッ ク ド メ インで生成されます。

rx_serdes_clk

rx_serdes_clk は、 オート ネゴシエーシ ョ ン と リ ンク ト レーニングのために RX ラ イン側のロジッ クを駆動します。

AN_clk

AN_clk は、オート ネゴシエーシ ョ ン ステート マシンを駆動します。すべての Ability 信号はこのクロ ッ ク ド メ インに属します。 AN_clk は、任意の周波数で動作可能です。サンプル デザインでは、 AN_clk は dclk 入力に接続され、標準周波数 75MHz で動作します。 AN_clk の周波数はすべてのタイマーの基準であるため、 オート ネゴシエーシ ョン ステート マシンはこの周波数値を認識している必要があ り ます。

リセッ ト図 3-5 に、 コンポーネン ト サポート ラ ッパー レイヤーに実装された PCS/PMA を使用する 10G/25G Ethernet MAC のリセッ ト構造を示します。 わかりやすく図示するため、 ク ロ ッ クは表示していません。

X-Ref Target - Figure 3-5

図 3‐5 : リセッ ト構造

gt helper blocks

gt_if

TX

hsec_top

RX

reset sequencer

example fsm

tx_serdes_reset

core rx_serdes_reset

NC

core_tx_serdes_reset

tx_reset rx_resetGT_reset

sys_reset

fsm_reset

rx_serdes_reset

tx_resetcore tx_reset

core rx_resetrx_reset

rx_serdes_reset

_wrapper

• • • • • • •• • • • • •

10G/25G 高速 Ethernet v1.3 92PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

コンポーネン ト  サポート  レイヤーのリセッ ト信号

サンプル デザインでは、1 つのリセッ ト信号を使用してラ ッパー レイヤー全体を リセッ ト します。外部スティ ミ ュラス fsm_reset を使用して、example_fsm ブロ ッ クが sys_reset 信号を生成し、この信号は 3 つの _wrapper リセットへ接続されます。 したがって、 サンプル デザインでは 3 つすべてのラ ッパー リ セッ トが同時にリ リースされ、 正し く動作します。

ラッパーのリセッ ト

階層内の _wrapper レイヤーは、設計者自身がデザインにインスタンシエートするものと します。使用する リセッ ト信号は 3 つあり ます。

• GT_reset

• tx_reset

• rx_reset

これらのリセッ ト信号のタイ ミ ングは reset_sequencer ブロッ クで処理されるため、設計者が懸念する必要はあり ません。

GT_reset

GT_reset は、 GT の非同期アクティブ High リセッ ト入力信号です。 GT の内部リセッ トは GT ヘルパー ブロ ッ クで処理されるため、 設計者が懸念する必要はあ り ません。

tx_reset

tx_reset は、 10G/25G Ethernet IP コアの TX パス ロジッ ク用の非同期アクティブ High リ セッ ト信号です。 この信号は、 サンプル デザインでは GT リセッ トへ接続されていますが、 任意のタイ ミ ングでアサートできるため、 RX パスに干渉するこ とな く個別に TX パスを リセッ トできます。

rx_reset

rx_reset は、 10G/25G Ethernet IP コアの RX パス ロジッ ク用の非同期アクティブ High リ セッ ト信号です。 この信号は、 サンプル デザインでは GT リセッ トへ接続されていますが、 任意のタイ ミ ングでアサートできるため、 TX パスに干渉するこ とな く個別に RX パスを リセッ トできます。

第 3 章 : サブシステムを使用するデザイン

10G/25G 高速 Ethernet v1.3 93PG210 2016 年 6 月 8 日 japan.xilinx.com

LogiCORE サンプル デザインのクロッキングと リセッ トX-Ref Target - Figure 3-6

図 3‐6 : シングル コアの詳細図 ‐ 同期クロック  モード  

GT_COMMONrefclk

qpll0outclk

qpll0outrefclkqpll0reset

qpll0lockqpll1lock

qpll1outclk

qpll1outrefclk

qpll1reset

qpll0clk_in

qpll1refclk_in

qpll1clk_in

qpll0refclk_in

第 3 章 : サブシステムを使用するデザイン

10G/25G 高速 Ethernet v1.3 94PG210 2016 年 6 月 8 日 japan.xilinx.com

図 3-6 ~図 3-9 に、 Vivado ツールを使用してサンプル デザインを実装した場合のクロ ッキングと リセッ トの構造を示します。

X-Ref Target - Figure 3-7

図 3‐7 : シングル コアの詳細図 ‐ 非期クロック  モード  

GT_COMMONrefclk

qpll0outclk

qpll0outrefclkqpll0reset

qpll0lockqpll1lock

qpll1outclk

qpll1outrefclk

qpll1reset

qpll0clk_in

qpll1refclk_in

qpll1clk_in

qpll0refclk_in

TX CLKINGHELPER BLOCK

txusrclk_in

txusrclk2_in

rxusrclk_in

rxusrclk2_in

txoutclk_out

rxoutclk_out

tx_active_in

rx_active_in

tx_srcclk_in

tx_reset_in

tx_usrclk_out_0

txoutclk_out_0

tx_usrclk2_out_0

tx_active_out_0

rxpmaresetdone_out

A

txprgdivresetdone_out

tx_reset_in_0 txpmaresetdone_out

rx_usrclk_out_0

rx_usrclk2_out_0

rx_active_out_0

BRX CLKINGHELPER BLOCK

rx_srcclk_in

rx_reset_in

rx_reset_in_0

rxoutclk_out_0

第 3 章 : サブシステムを使用するデザイン

10G/25G 高速 Ethernet v1.3 95PG210 2016 年 6 月 8 日 japan.xilinx.com

X-Ref Target - Figure 3-8

図 3‐8 :複数コアの詳細図 ‐ 同期クロック  モード  

GT_COMMONrefclk

qpll0outclk

qpll0outrefclkqpll0reset

qpll0lockqpll1lock

qpll1outclk

qpll1outrefclk

qpll1resetqpll0clk_in

qpll1refclk_in

qpll1clk_in

qpll0refclk_in

第 3 章 : サブシステムを使用するデザイン

10G/25G 高速 Ethernet v1.3 96PG210 2016 年 6 月 8 日 japan.xilinx.com

X-Ref Target - Figure 3-9

図 3‐9 :複数コアの詳細図 ‐ 非同期クロック  モード  

GT_COMMONrefclk

qpll0outclk

qpll0outrefclkqpll0reset

qpll0lockqpll1lock

qpll1outclk

qpll1outrefclk

qpll1resetqpll0clk_in

qpll1refclk_in

qpll1clk_in

qpll0refclk_in

TX CLKINGHELPER BLOCK

TX CLKINGHELPER BLOCK

TX CLKINGHELPER BLOCK

TX CLKINGHELPER BLOCK_0

txusrclk_in

txusrclk2_in

txoutclk_out

tx_active_in

tx_srcclk_in

tx_reset_in

tx_usrclk_out_0

txoutclk_out_0

tx_usrclk2_out_0

tx_active_out_0

A

txprgdivresetdone_out

tx_reset_in_0 txpmaresetdone_out

rxusrclk_in

rxusrclk2_in

rxoutclk_out

rx_active_in

rxpmaresetdone_out

rx_usrclk_out_0

rx_usrclk2_out_0

rx_active_out_0

BTX CLKING

HELPER BLOCKTX CLKING

HELPER BLOCKTX CLKING

HELPER BLOCKRX CLKING

HELPER BLOCK_0

rx_srcclk_in

rx_reset_in

rxoutclk_out_0

rx_reset_in_0

10G/25G 高速 Ethernet v1.3 97PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

IEEE 1588v2 への対応

概要

このセクシ ョ ンでは、MAC レイヤーが含まれる場合の 10G/25G Ethernet Subsystem のパケッ ト タイムスタンプ機能について説明します。 オプシ ョ ンのタイムスタンプ機能は、 IP カタログからサブシステムを生成する際または IP コアを非同期的に設定する際に指定する必要があ り ます。 この機能は、 1 ステップおよび 2 ステップの IEEE 1588v2 機能をサポート します。

イーサネッ ト フレームは、 イングレス (入力) と イグレス (出力) の両方でタイムスタンプが挿入されます。 このオプシ ョ ン機能は、 あらゆる種類の IEEE 1588v2 ク ロ ッ ク (通常、 透過、 境界) に対して使用できます。 また、 システムのイングレスおよびイグレス ポートでパケッ トの一般的なタイムスタンプ機能と しても使用できます。この機能はさまざまなパケッ ト タイムスタンプ アプリ ケーシ ョ ンに利用可能ですが、このセクシ ョ ンでは IEEE 1588v2 Precision TimeProtocol (PTP) も実装する場合を前提に説明します。

IEEE 1588v2 では、 ネッ ト ワーク上でタイ ミ ングを同期させるためのプロ ト コルを定義しています。 通常、 1588 ネット ワークにはベス ト マスター ク ロ ッ ク アルゴ リ ズム (BMCA) を使用して選択されるマスター ク ロ ッ ク タイ ミ ングリ ファレンスが 1 つあり ます。 このマスター リ ファレンスは、定期的にシステム タイマー リ ファレンス カウンターをサンプルし、 その値を定義されたパケッ ト フォーマッ ト を使用してネッ ト ワーク上に送信します。 このタイマーは、1588 タイ ミ ング パケッ トの開始が送信される と きにサンプル (タイムスタンプ) される必要があ り ます。したがって、 ネッ ト ワーク上で高精度の同期を達成するには、 正確なタイムスタンプ機能が必要です。 タイムスタンプを ト リガーしたパケッ トにサンプルしたタイマー値 (タイムスタンプ) を挿入する動作を 1 ステップ動作といいます。 また、後続のパケッ トにタイムスタンプ値を挿入するこ と も可能で、 これは 2 ステップ動作といいます。

ネッ ト ワーク上のその他のタイ ミ ング スレーブ デバイスは、ネッ ト ワーク タイ ミ ング マスターから これらのタイ ミング リ ファレンス パケッ ト を受信して、 それぞれのローカル タイマー リ ファレンスを同期させます。 このメカニズムは、 これらのイーサネッ ト ポートが 1588 タイ ミ ング パケッ ト を受信する と きにタイムスタンプ (それぞれのローカル タイマーのサンプル) も取得するこ とで成立しています。 1588 の詳細説明は、 この資料の対象範囲外です。 こ こでは、 サブシステムの 1588 ハードウェア タイムスタンプ機能について説明しています。

サブシステムに提供される 1588 タイマーとそのタイムスタンプのフォーマッ トは 2 種類あ り、 サブシステムを生成する際に選択できます。

• Time-of-Day (ToD) フォーマッ ト : IEEE 1588-2008 フォーマッ トで、 符号なしの 48 ビッ トの秒フ ィールド と 32ビッ トのナノ秒フ ィールドで構成されています。

• 訂正フ ィールド フォーマッ ト : IEEE 1588-2008 数値フォーマッ トで、 2 の 16 乗で乗算されたナノ秒を表す 64ビッ トの符号付きフ ィールドで構成されています (IEEE 1588 第 13.3.2.7 項を参照)。 このタイマーは 0 から 大値の 2 の 64 乗 -1 までカウン トする と、 ラ ップアラウンド して 0 に戻り ます。

10G/25G 高速 Ethernet v1.3 98PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

イグレス

前の図からわかる とおり、 タイムスタンプ ロジッ クは使用する動作モード (1 ステップ、 2 ステップ) によって、 2 つの場所に配置されます。 1 ステップ動作では、 UDP (User Datagram Protocol) チェッ クサムと FCS のアップデートが必要となるため、 FCS コア ロジッ クが使用されます。

TS リ ファレンスの定義は次のとおりです。

• TS1 : 1 ステップ動作が選択された場合の出力タイムスタンプ信号です。

• TS2 : 2 ステップ動作が選択された場合の出力タイムスタンプ信号です。

• TS2' : 両方のタイムスタンプが訂正されるプレーンです。

TS2 では常に訂正が適用され、TS2’プレーンの基準とな り ます。TS1 では、ctl_tx_ptp_latency_adjust[10:0]信号の値によって、 TS2’ の訂正が適用される場合と適用されない場合があ り ます。

X-Ref Target - Figure 3-10

図 3‐10 : イグレス

TX BUS

ctl_tx_systemtimerin[80-1:0]tx_ptp_1588op_in[1:0]tx_ptp_tag_field_in[15:0]tx_ptp_upd_chksum_intx_ptp_tstamp_offset_in[15:0]ctl_tx_ptp_1step_enablectl_tx_ptp_transpclk_modectl_tx_ptp_latency_adjust[7:0]

stat_tx_ptp_fifo_write_errorstat_tx_ptp_fifo_read_errortx_ptp_tstamp_valid_out

tx_ptp_tsta,p_tag_out[15:0]tx_ptp_tstamp_out[79:0]

TS1

PCS

Note: some core logic and lane logic blocks are not shown for clarity

TS2'

TS2

2-steplogic

1-step logic including

UDP checksum

update

TX adapter

Core Logic

PCS LogicSerDes

10G/25G 高速 Ethernet v1.3 99PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

レートやクロ ッ ク モード (通常または透過) に基づいて、ctl_tx_ptp_latency_adjust[10:0] 信号に推奨されるデフォルト値は次のとおりです。

• 25G 通常クロ ッ ク = 395

• 25G 透過クロ ッ ク = 471

• 10G 通常クロ ッ ク = 970

• 10G 透過クロ ッ ク = 1177

送信側では、 送信用のフレームと共に、 サブシステムへのコマンド フ ィールドがク ラ イアン トによって提供されます。 これは、 フレーム単位で実行する 1588 機能 (NOP、 1 ステップ、 2 ステップ) を示し、 さ らに 1 ステップ フレームの場合には、 アップデートする UDP チェッ クサム フ ィールドがあるかを示します。

ToD フォーマッ ト を使用する場合、1 ステップと 2 ステップの両方の動作で、キャプチャした 80 ビッ トすべての ToDタイムスタンプが表 3-1 で説明する追加ポート を用いてク ライアン ト ロジッ クに返されます。

訂正フ ィールド フォーマッ ト を使用する場合、 1 ステップと 2 ステップの両方の動作で、 キャプチャした 64 ビッ トすべてのタイムスタンプが表 3-1 で説明する追加ポート を用いて、 ク ライアン ト ロジッ クに返されます (表に記載のよ うに、 データの上位ビッ トは 0 にセッ ト )。

ToD フォーマッ ト を使用する 1 ステップ動作の場合、 キャプチャした 80 ビッ トすべての ToD タ イムスタンプがフレームに挿入されます。訂正フ ィールド フォーマッ ト を使用する 1 ステップ動作の場合には、キャプチャした 64 ビット のタ イムスタンプがフレーム内にすでにある訂正フ ィールド と合算され、 その合算結果がフレームの元の訂正フ ィールドを上書きします。 1 ステップのタイムスタンプ機能でサポート されるフレームの種類は次のとおりです。

• 生イーサネッ ト

• UDP/IPv4

• UDP/IPv6

1 ステップ動作の UDP フレームの場合、 UDP チェッ クサムは IETF RFC 1624 に従ってアップデート されます。 1 ステップ動作のすべてのフレームの場合、 すべてのフレーム修正が完了してから、 Ethernet Frame Check Sequence (FCS)フ ィールドが計算されます。 2 ステップの送信動作では、 すべての Precision Time Protocol (PTP) フレームがサポートされています。

10G/25G 高速 Ethernet v1.3 100PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

フレーム単位のタイムスタンプ動作

イグレス タイムスタンプ機能の動作モードは、 1588 コマンド ポートの設定によって決定されます。 コマンド ポートに含まれる情報は、 次のいずれかを示します。

• NOP (No Operation) : フレームは PTP フレームではなく、 タイムスタンプ動作は実行されません。

• 2 ステップ動作が必要で、 タグ値 (ユーザー シーケンス ID) がコマンド フ ィールドの一部と して提供されます。フレームにタイムスタンプ情報が挿入される必要があ り、フレームのタグ値と共にタイムスタンプ情報がク ライアン ト ロジッ クに提供されます。 追加の MAC ト ランス ミ ッ ター ポートがこの機能を提供します。

• 1 ステップ動作が必要な場合

° ToD タイマーやタイムスタンプ フォーマッ トの場合、 タイムスタンプ オフセッ ト値がコマンド ポートの一部と して提供されます。 つま り、 フレームにタイムスタンプを実行する必要があ り、 タイムスタンプ情報は定義されたフレームのオフセッ ト (バイ ト数) に挿入される必要があ り ます。

° 訂正フ ィールド フォーマッ トの場合、 訂正フ ィールド オフセッ ト値がコマンドポートの一部と して提供されます。 つま り、 フレームにタイムスタンプを実行する必要があ り、 キャプチャした 64 ビッ トのタイムスタンプがフレーム内にすでにある訂正フ ィールド と合算され、その合算結果がフレームの元の訂正フ ィールドを上書きします。

1 ステップ動作の場合、 フレーム修正の後に、 フレームの CRC (巡回冗長検査) 値のアップデート /再計算が必要です。 UDP IPv4 および IPv6 PTP フォーマッ トのフレームの場合、 フレームのヘッダーにあるチェッ クサム値のアップデート /再計算が必要です。

• 1 ステップ動作の UDP フレームの場合、 UDP チェッ クサムは IETF RFC 1624 に従ってアップデート されます。

° ToD フォーマッ ト を使用する場合、 このアップデート機能を正常動作させるため、 送信用フレームの元のチェッ クサム値は、 タイムスタンプ データが 0 と して計算される必要があ り ます。 訂正フ ィールド フォーマッ ト を使用する場合、 この条件は適用されません。

° 訂正フ ィールド フォーマッ ト を使用する場合は別の条件が適用されます。 つま り、 1588 PTP フレーム ヘッダー内の UDP チェッ クサム フ ィールド と訂正フ ィールドの間隔は、1588 PTP フレームの定義に準拠する固定のバイ ト間隔とな り ます。 チェッ クサムが正し くアップデート されるには、 MAC パイプラインにチェックサムと訂正フ ィールドの両方が含まれる必要があるため、 MAC 全体のレイテンシを 小限に抑えるにはこの条件が必要です。 ToD フォーマッ トの場合は、 元のタイムスタンプ データが 0 値と して計算されるため、 この条件は適用されません。 したがって、 チェッ クサムと タイムスタンプはフレーム内で別々に位置するこ とができます。

10G/25G 高速 Ethernet v1.3 101PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

イングレス

イングレス ロジッ クは、 イングレス パケッ ト を解析して 1588 (PTP) フレームを検索するこ とはあ り ません。 代わりに、受信したすべてのフレームのタイムスタンプ情報を取得して、 この値をユーザー ロジッ クに出力します。 この機能は常に有効ですが、 不要な場合には、 タイムスタンプ出力を無視できます。

タイムスタンプは、 SOP (Start Of Packet) に対応するもののみを取得するため、 PCS デコーダーの後にフ ィルターされます。 これらの 80 ビ ッ ト のタ イムスタンプはシステム側に出力されます。 タ イムスタンプは、 SoP サイ クル中でena_out = 1 の場合に有効となり ます。

X-Ref Target - Figure 3-11

図 3‐11 : イングレス

Timestamp capture

SerDes

ctl_rx_systemtimerin[80-1:0]

Lane Buffer

timestamp

CoreClock domain

RX SerDesclock domain

datapath

datapath

core logic

timestamp(80 bits)

SoPdetection

(64 bits)RX BUS

rx_ptp_tstamp_out[79:0]

PCS MAC

1588logic

• • • • • • •• • • • • •

10G/25G 高速 Ethernet v1.3 102PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

ポートの説明

次の表では、 パケッ トのタイムスタンプ機能が含まれている場合に現れる追加信号について説明します。

表 3‐1 : 1588v2 のポート と説明

LFI (Local Fault Indication) 方向 説明クロック  ド メイン

IEEE 1588 インターフェイス – TX パス

ctl_tx_systemtimerin[80-1:0] 入力

TX 用のシステム タ イマー入力。 通常ク ロ ッ ク モードでは、32 LSB にナノ秒を格納し、48 MSB に秒を格納します。 透過クロ ッ ク モードでは、 ビッ ト 63 に 0、 ビット 62:16 にナノ秒の整数部、 ビッ ト 15:0 にナノ秒の小数部を格納します。 ビッ ト表現の定義は、 IEEE 1588v2を参照して ください。

この入力は TX SerDes ク ロ ッ ク ド メ インに属している必要があ り ます。

tx_serdes_clk

tx_ptp_tstamp_valid_out 出力このビッ トは、TX システム インターフェイスに有効なタイムスタンプが現れているこ とを示します。

tx_clk_out

tx_ptp_tstamp_tag_out[15:0] 出力 tx_ptp_tag_field_in[15:0] に対応するタグ出力。 tx_clk_out

tx_ptp_tstamp_out[80-1:0] 出力送信パケッ ト SOP のタイムスタンプは、 キャプチャ プレーンを通過した時刻のものです。 タイム フォーマットはタイマー入力と同じです。

tx_clk_out

tx_ptp_1588op_in[1:0] 入力

この信号は、 パケッ ト の 初のサイ クルで有効にな ります。

2'b00 – NOP (No Operation)。 タイムスタンプの取得もフレームの変更もしません。

2'b01 – 1 ステップ。タイムスタンプを取得し、フレームに挿入します。

2'b10 – 2 ステップ。 タイムスタンプを取得し、 2 ステップ動作用の追加のポート を介してク ラ イアン トへ返します。 フレーム自体は変更されません。

2'b11 – 予約済み。 NOP と して動作します。

tx_clk_out

ctl_tx_ptp_1step_enable 入力 1 に設定されている場合、 1 ステップ動作を有効にします。

tx_clk_out

ctl_ptp_transpclk_mode 入力

1 に設定されている場合、 タイムスタンプ ロジッ クが透過クロ ッ ク モードになり ます。 透過クロ ッ ク モードでは、 システム タイマー入力を訂正値と して解釈します。 IEEE 1588v2 で定義されているプロセスに従って、TX が TX タイムスタンプに訂正値を追加します。 訂正値の符号ビッ ト を 0 (正の時間) と仮定します。

対応する入力 PTP パケッ トの訂正フ ィールドは、 適切な RX タ イムスタンプで修正ずみである こ とが予想されます。

tx_clk_out

10G/25G 高速 Ethernet v1.3 103PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

tx_ptp_tag_field_in[15:0] 入力

このフ ィールドの使用法は、 1588 の動作によって異なり ます。 この信号は、 パケッ ト の 初のサイ クルで有効になり ます。

• NOP の場合、 このフ ィールドは無視されます。

• 1 ステップおよび 2 ステップの場合、このフ ィールドはタグ フ ィールドです。 このタグの値が、 現在のフレームに対するタイムスタンプと一緒に 2 ステップ動作用の追加のポート を介してク ラ イアン トへ返されます。ソフ ト ウェアでこのタグの値を使用して、送信用に送った PTP フレームと タイムスタンプを確実に一致させるこ とができます。

tx_clk_out

ctl_tx_ptp_latency_adjust[10:0] 入力2 ステップ タイムスタンプを基準に 1 ステップ TX タイムスタンプを調整するために使用します。ビッ ト [10:3]の単位はナノ秒で、 [2:0] の単位はナノ秒の小数部です。

tx_clk_out

stat_tx_ptp_fifo_write_error 出力

送信 PTP FIFO 書き込みエラー。 このステータス信号が1 の場合、PTP タグの書き込み中にエラーが発生したことを示します。 このエラーをク リ アするには、 TX パスのリセッ トが必要です。

tx_clk_out

stat_tx_ptp_fifo_read_error 出力

送信 PTP FIFO 読み出しエラー。 このステータス信号が1 の場合、PTP タグの読み出し中にエラーが発生したことを示します。 このエラーをク リ アするには、 TX パスのリセッ トが必要です。

tx_clk_out

tx_ptp_rxtstamp_in 入力

このフ ィールドの使用法は、 1588 の動作によって異なり ます。

• 通常クロ ッ ク モードの NOP、 2 ステップ、 または 1ステップの場合、 このフ ィールドは無視されます。

• 透過クロ ッ ク モードの 1 ステップの場合、 このフ ィールドは PTP パケッ トの RX タイムスタンプを伝搬します。 ビッ ト 63 に 0、 ビッ ト 62:16 にナノ秒の整数部、 ビッ ト 15:0 にナノ秒の小数部を格納します。 ビッ ト表現の定義は、 IEEE 1588v2 を参照してください。 この RX タイムスタンプと PTP パケッ トのTX タイムスタンプの差分は滞留時間による もので、この値が PTP パケッ トの訂正フ ィールドの内容に 2の補数で追加されます。

tx_clk_out

表 3‐1 : 1588v2 のポート と説明  (続き)

LFI (Local Fault Indication) 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 104PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

IEEE 1588 インターフェイス – RX パス

ctl_rx_systemtimerin[80-1:0] 入力RX 用のシステム タイマー入力。 タイム フォーマッ トは TX と同じです。 この入力は、 RX SerDes と同じ クロ ッ ク ド メ インに属している必要があ り ます。

rx_serdes_clk

rx_ptp_tstamp_out[80-1:0] 出力受信パケッ ト SOP のタイムスタンプは、 キャプチャ プレーンを通過した時刻のものです。この信号は、パケットの 初のサイクルで有効になり ます。

rx_clk_out

表 3‐1 : 1588v2 のポート と説明  (続き)

LFI (Local Fault Indication) 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 105PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

IEEE 1588v2 PTP の機能説明

10G/25G Ethernet Subsystem の IEEE 1588v2 機能は、 イングレス と イグレスの両方向に対してハード ウェア レベルでイーサーネッ ト フレームの正確なタイムスタンプ機能を提供します。

タイムスタンプは、前述の入力クロ ッ ク ソースに従ってキャプチャされます。ただし、ソースは SerDes と同じ クロ ック ド メ インに属している必要があ り、 ユーザーが提供する外部回路による リ タイ ミ ングが必要な場合があ り ます。

すべてのイングレス フレームがタイムスタンプを受信します。必要に応じて受信したフレームに割り込み、特定のフレームに PTP 情報 (Ethertype を用いて) が含まれているか、 またタイムスタンプの保持または破棄が必要であるかを判断できます。

イグレス フレームは、 PTP フレームと してタグ付けされている場合にタイムスタンプが挿入されます。 イグレス フレームのタイムスタンプは、 ユーザーが与えたタグと一致します。

受信フレームのタイムスタンプは、 パケッ トの開始と同じ クロ ッ ク サイ クルでユーザー インターフェイスに現れます。 その後、 必要に応じてパケッ トへタイムスタンプを付加できます。

定義では、 タイムスタンプは、 SOP が 10G/25G Ethernet Subsystem 内のキャプチャ プレーンを通過する と同時にキャプチャされます。 この動作を次の回路図で示します。

X-Ref Target - Figure 3-12

図 3‐12 :受信 

Customer-supplied clock domain adapter

XilinxPCS

SerDesEthernet frames

Ingress frames

ctl_rx_systemtimerin[80-1:0]

rx_serdes_clk domain

clk domain

XilinxMAC

Ethernet frames

timestamp and PCS lane

AXI(Ethernet frames)

t

rx_ptp_tstamp_out[80-1:0]

SFD capture plane

System Time of Day ClockReceive

• • • • • • •• • • • • •

10G/25G 高速 Ethernet v1.3 106PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

性能

次の表に、 一般的な 10G/25G Ethernet Subsystem におけるタイムスタンプ キャプチャの精度を示します。 タイ ミ ングパラ メーターの定義については、 前の図を参照してください。

次の表は、 「t」 の一般的なテス ト結果を示しています。 これらの値には SerDes の遅延が含まれています。 SerDes の詳細および 新情報については、 ザイ リ ンクスへお問い合わせください。

SerDes ク ロ ッ クは 390.625MHz と仮定します。

通常アプ リ ケーシ ョ ンでは、 イングレス と イグレスのキャプチャ タ イムの差は絶対時間を判断する上で重要です。PTP アルゴ リズムは、 非対称情報を使用して精度を上げるこ とができます。

1588v2 機能は、 内部計算を行うために、 すべてのク ロ ッ ク周波数が既知であるこ とを要求します。 ク ロ ッ ク周波数は、 タイムスタンプ訂正が適切に動作するよ う PTP IP コアを設定する際に指定する必要があ り ます。

一般的なアプリ ケーシ ョ ンの場合、 PTP アルゴ リズム (servo、 この IP の一部ではない) が、時間の経過 (多数のパケット サンプル) と共にジッターを排除します。 これは、収束時間やスレーブ ク ロ ッ クのド リ フ ト を 小限に抑えるためにジッターをできるだけ小さ くするのに有効です。

X-Ref Target - Figure 3-13

図 3‐13 :送信 

表 3‐2 : タイムスタンプ キャプチャの精度

Ethernet ポート clk (MHz)t min (nsec)

t max (nsecSerDes 位相 FIFO の変動 (nsec)

ジッ ターによる  ToD クロックのずれ (nsec)

25GE イングレス 390.625 30 31 +/- 1 +/- 2.56

25GE イグレス 390.625 30 31 +/- 1 +/- 2.56

Customer-supplied clock domain adapter

XilinxPCS

SerDesEthernet frames

Egress frames

ctl_tx_systemtimerin[80-1:0]

tx_serdes_refclk domain

clk domain

XilinxMAC

tag

Ethernet frames

tx_ptp1588op_in[1:0]tx_ptp_tag_field_in[15:0]

t

SFD capture plane

System Time of Day Clock

AXI (Ethernet frames)

tx_ptp_ftstamp_valid_outtx_ptp_tstamp_tag_out[16-1:0]tx_ptp_tstamp_out[80-1:0]stat_tx_ptp_fifo_write_errorstat_tx_ptp_fifo_read_error

10G/25G 高速 Ethernet v1.3 107PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

RS‐FEC のサポート

概要

このセクシ ョ ンでは、 10G/25G Ethernet Subsystem のオプシ ョ ン機能 RS-FEC について説明し ます。 オプシ ョ ンのRS-FEC 機能は、IP カタログからサブシステムを生成する際または IP コアを非同期的に設定する際に指定する必要があ り ます。

下図のよ うに、 RS-FEC ブロ ッ クは PCS と PMA の間に位置します。

次の図を参照して、 RS-FEC コアのク ロ ッ クおよびリセッ ト信号は、 アクティブ High の ト ランシーバー リ セッ ト信号を使用する ト ラシーバー信号と同等です。

この文書では、 RS-FEC コア内部の詳細についての説明は割愛し ます。 IEEE 802.3 第 108 項および 25G EthernetConsortium の第 3 スケジュール [参照 1] を参照してください。

また、 『25G IEEE 802.3by Reed-Solomon Forward Error Correction LogiCORE IP 製品ガイ ド』 (PG217) [参照 10] でも詳しく説明しています。

X-Ref Target - Figure 3-14

図 3‐14 : RS‐FEC ブロック図

Transceiver

userdata_tx_in[63:0]

25G RS-FEC Core

txheader_out[1:0]

userdata_rx_out[63:0]

txheader_out[1:0]

tx_pcs_data[65:0]

tx_cwm_flag

rx_pcs_data[65:0]

rx_cwm_flag

tx_serdes_data[65:2]

tx_serdes_data[1:0]

rx_serdes_data[65:2]

rx_serdes_data[1:0]

Control Status

64

2

64

2

M_PMAInterface

S_PCSInterface

AX14-Lite orConfig/Status Bus

25G MAC Core

tx_dataout[65:0]

tx_cwm_flag

rx_datain[65:0]

rx_cwm_flag

66

66

tx_clk rx_clk tx_resetn rx_resetn

10G/25G 高速 Ethernet v1.3 108PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

ポートの説明

表 3‐3 : RS‐FEC のポート と説明 

ポート 方向 説明クロック  ド メイン

RS‐FEC 制御信号

ctl_rsfec_ieee_error_indication_mode 入力

• 1 : コアは IEEE RS-FEC 仕様に準拠します。

• 0 : FEC_bypass_correction_enable とFEC_bypass_indication_enable がアサー ト されている場合、 RS デコーダーはバイパスされます。

ctl_rsfec_consortium_25g 入力

IEEE 第 108 項と 25G Ethernet Consortium のモードを切り換えます。

• 1 = 25G Consortium 仕様モード

• 0 = IEEE 802.3by モード

一部の 10G/25G サブシステム コンフ ィギュレーシ ョンでは、 RX と TX のコンソーシアム信号を個別に備えるこ とができます。

ctl_rsfec_enable 入力RS-FEC 機能を有効にします。 一部の 10G/25G サブシステム コンフ ィギュレーシ ョ ンでは、 RX と TX のイネーブル信号を個別に備えるこ とができます。

ctl_rx_rsfec_enable_correction 入力

このビッ トの設定は、rx_resetn が Low にアサート された後に有効になり ます (~rx_serdes_reset)。MDIO レジスタ 1.200.0 に相当します。

• 0 : デコーダーがエラーを検出しますが、その訂正は行いません ( 「IEEE 802.3802.3by セ ク シ ョ ン91.5.3.3」 参照)。

• 1 : デコーダーがエラーの検出と訂正を実行します。

ctl_rx_rsfec_enable_indication 入力

このビッ トの設定は、rx_resetn が Low にアサート された後に有効になり ます (~rx_serdes_reset)。MDIO レジスタ 1.200.1 に相当します。

• 0 : エラー表示機能をバイパスします ( 「IEEE Std802.3by セクシ ョ ン 91.5.3.3」 参照)。

• 1 : デコーダーが PCS サブレイヤーにエラー示します。

ctl_rx_vl_length_minus1[15:0] 入力通常、 20,479 (4FFF hex) に設定されます。 通常値は、(16,383 x 5 -4) = 81,916 に相当します。

ctl_rx_vl_marker_id0[63:0] 入力40G Ethernet の IEEE 802.3 第 82 項で定義されているRX PCS レーン 0 のアラ イ メ ン ト マーカーに相当します。

ctl_rx_vl_marker_id1[63:0] 入力 PCS レーン 1 のアライ メン ト マーカーに相当します。

ctl_rx_vl_marker_id2[63:0] 入力 PCS レーン 2 のアライ メン ト マーカーに相当します。

ctl_rx_vl_marker_id3[63:0] 入力 PCS レーン 3 のアライ メン ト マーカーに相当します。

ctl_tx_vl_length_minus1[15:0] 入力通常、 20479 (10 進数) に設定されます。 通常値は、(16,383 x 5 -4) = 81,916 に相当します。

ctl_tx_vl_marker_id0[63:0] 入力40G Ethernet の IEEE 802.3 第 82 項で定義されているTX PCS レーン 0 のアラ イ メ ン ト マーカーに相当します。

ctl_tx_vl_marker_id1[63:0] 入力 PCS レーン 1 のアライ メン ト マーカーに相当します。

10G/25G 高速 Ethernet v1.3 109PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

RS‐FEC 機能の説明

10G/25G サブシステムの RS-FEC 機能は、 IEEE 802.3 第 108 項または 25G Ethernet Consortium の第 3 スケジュールに基づくエラー訂正機能を提供します。

この機能は、 IEEE 802.3 表 82-2 の定義に従った PCS アラ イ メ ン ト マーカーの挿入が必要です。 アラ イ メ ン ト マーカー用の入力があ り ますが、 これはアライ メン ト マーカー間のワードの値にも使用されます。

RS-FEC 機能は、 イネーブル信号を用いてバイパス可能です。 RS-FEC 機能をバイパスして、 PCS を直接ト ランシーバーへ接続するこ とで、レイテンシが削減される という メ リ ッ トがあ り ます。次に示すさまざまなバイパス モードの新のレイテンシ情報は、『25G IEEE 802.3by Reed-Solomon Forward Error Correction LogiCORE IP 製品ガイ ド』 (PG217)

[参照 10] を参照して ください。

ctl_tx_vl_marker_id2[63:0] 入力 PCS レーン 2 のアライ メン ト マーカーに相当します。

ctl_tx_vl_marker_id3[63:0] 入力 PCS レーン 3 のアライ メン ト マーカーに相当します。

RS‐FEC のステータス信号

stat_rx_rsfec_corrected_cw_inc 出力 訂正されたエラーに対するインクエリ メン ト信号です。

stat_rx_rsfec_uncorrected_cw_inc 出力訂正されていないエラーに対する インクエ リ メ ン ト信号です。

stat_rx_rsfec_err_count_inc[2:0] 出力 検出したエラーに対するインクエリ メン ト信号です。

stat_rx_rsfec_hi_ser 出力8192 コード ワード ウ ィンド ウの RS-FEC シンボル エラー数がしきい値 K = 417 を超える と 1 にセッ ト されます。 その他の場合は 0 にセッ ト されます。

stat_rx_rsfec_lane_alignment_status 出力この値が 1 の場合、 RX RS-FEC ブロ ッ クが ト ラ ンシーバーからのデータにアラ イ メ ン ト したこ と を示します。

stat_tx_rsfec_lane_alignment_status 出力この値が 1 の場合、 TX RS-FEC ブロ ッ クが入力 PCSデータにアライ メン ト したこ とを示します。

表 3‐3 : RS‐FEC のポート と説明   (続き)

ポート 方向 説明クロック  ド メイン

10G/25G 高速 Ethernet v1.3 110PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

• FEC バイパス訂正 : デコーダーがエラーを検出しますが、 その修正は行いません ( 「IEEE Std 802.3by セクシ ョ ン108.5.3.2」 参照)。 こ のモード では、 レ イ テンシが削減されます (レ イ テンシの詳細は、 『25G IEEE 802.3byReed-Solomon Forward Error Correction LogiCORE IP 製品ガイ ド』 (PG217) [参照 10] 参照)。

• FEC バイパス表示 : データを訂正しますが、 それを表示しません。 このモードでは追加信号の rx_hi_ser が生成されるため、 パケッ ト内のエラーが検出されない可能性を低くできます。 RS デコーダーは、 8192 コード ワードの連続する非重複ブロ ッ クで検出されたシンボル エラー数をカウン ト します ( 「IEEE Std 802.3by セクシ ョ ン108.5.3.2」 参照)。 このモードでは、 レイテンシが削減されます。

• デコーダー バイパス : バイパス訂正とバイパス表示のイネーブル信号が High のと きに IEEE のエラー表示信号を Low にするこ とで、 RS デコーダーをバイパスできます。

ステータス/制御インターフェイスステータス /制御インターフェイスは、 10G/25G Ethernet コアのコンフ ィギュレーシ ョ ンを設定し、 そのステータスを監視するために使用します。 このセクシ ョ ンでは、 各種ステータス /制御信号の一部について詳し く説明します。

stat_rx_framing_err および stat_rx_framing_err_valid

これらの信号は、 同期ヘッダー エラーを追跡するために使用します。 これらのバスは、 同期ヘッダー エラーを追跡するために使用します。 stat_rx_framing_err 出力は、 受信した同期ヘッダー エラーの数を示します。 このバスの値は、 対応する stat_rx_framing_err_valid のサンプル値が 1 の場合のみ有効です。

stat_rx_block_lock

このビッ トは、 インターフェイスで IEEE Std. 802.3 で定義された同期ヘッダー ロ ッ クを完了したかど うかを示します。 値が 1 なら、 ブロ ッ ク ロ ッ クが完了しています。

stat_rx_local_fault

stat_rx_internal_local_fault または stat_rx_received_local_fault がアサート される と、 この出力が High になり ます。 この出力はレベル センスです。

RX エラー ステータス

コアには、 64b/66b ワードおよびシーケンスの違反、 CRC32 チェッ ク エラーを特定するためのステータス信号があ ります。

すべての信号は、 clk の立ち上がりエッジに同期します。 これらの信号の詳細説明は次のとおりです。

10G/25G 高速 Ethernet v1.3 111PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

stat_rx_bad_fcs[1:0]

この信号の値が正の場合、 受信したパケッ トの CRC32 の想定値と実際の値が異なるこ とをエラー検出ロジッ クが検出したこ とを示します。

CRC32 エラーを検出した場合、 受信したパケッ トはエラーあ り とマーク され、 ctl_rx_ignore_fcs がアサート されていなければ 後の転送 (rx_eopout をアサート したサイクル) で rx_errout をアサート して送信されます。 この信号は、 CRC32 エラーが検出されるたびに 1 ク ロ ッ ク周期だけアサート されます。

stat_rx_bad_code

この信号は、 RX PCS 受信ステート マシンが何サイ クルの間、 IEEE Std. 802.3 仕様で定義された RX_E ステートにとどまるかを示します。

Pause 処理10G/25G Ethernet Subsystem コアは、 Pause パケッ トの生成と終了に関する包括的なメカニズムを備えています。 Pause情報の処理インターフェイスは TX と RX で独立しています。 このセクシ ョ ンでは、 これらのインターフェイスについて説明します。

TX Pause の生成

ctl_tx_pause_req[8:0] および ctl_tx_pause_enable[8:0] 入力バスを使用して Pause パケッ トの送信を要求できます。 ビッ ト [8] はグローバル Pause パケッ トに対応し、 ビッ ト [7:0] は優先 Pause パケッ トに対応します。

このバスの各ビッ トは、 16 サイ クル以上の間定常状態に保持してから遷移する必要があ り ます。

注意 : グローバル Pause パケッ ト と優先 Pause パケッ トの両方を同時に要求する と予測不能な動作となるため、 回避してください。

Pause パケッ トの内容は、 次の入力ピンを使用して決定します。

グローバル Pause パケッ トの場合 :

ctl_tx_da_gpp[47:0]ctl_tx_sa_gpp[47:0]ctl_tx_ethertype_gpp[15:0]ctl_tx_opcode_gpp[15:0]ctl_tx_pause_quanta8[15:0]

10G/25G 高速 Ethernet v1.3 112PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

優先 Pause パケッ トの場合 :

ctl_tx_da_ppp[47:0]ctl_tx_sa_ppp[47:0]ctl_tx_ethertype_ppp[15:0]ctl_tx_opcode_ppp[15:0]ctl_tx_pause_quanta0[15:0]ctl_tx_pause_quanta1[15:0]ctl_tx_pause_quanta2[15:0]ctl_tx_pause_quanta3[15:0]ctl_tx_pause_quanta4[15:0]ctl_tx_pause_quanta5[15:0]ctl_tx_pause_quanta6[15:0]ctl_tx_pause_quanta7[15:0]

10G/25G Ethernet コアは FCS を自動的に計算してパケッ トに追加します。優先 Pause パケッ トの場合、10G/25G Ethernetコアは要求された優先度に基づいてイネーブル ベクターも自動で生成します。

Pause パケッ ト を要求するには、 ctl_tx_pause_req[8:0] および ctl_tx_pause_enable[8:0] バスの対応するビッ ト を 1 にセッ ト し、 Pause 要求の間 1 のまま保持します。 これらの入力を 0 にする と、 保留中の Pause パケットはすべてキャンセルされます。 10G/25G Ethernet コアは、 現在のパケッ ト転送が完了する とただちに Pause パケット を送信します。

重要 : このバスの各ビッ トは、 16 サイクル以上の間定常状態に保持してから遷移する必要があ り ます。

Pause パケッ トの再送用に、 10G/25G Etherne コアは 9 個の独立したタイマー (各優先度に 1 個とグローバル Pause 用に 1 個) を備えています。 これらのタイマーには、対応する入力バスの値がロード されます。 Pause パケッ ト を送信する と、対応するタイマーに ctl_tx_pause_refresh_timer[8:0] 入力バスの対応する値がロード されます。 タイマーがタイムアウ トになる と、現在のパケッ ト転送が完了後ただちにその優先度 (またはグローバル) の Pause パケットが再送されます。 また、 タイマーの値を手動で強制的に 0 にでき、 ひいては ctl_tx_resend_pause 入力を 1 クロ ッ ク サイ クル間 1 にして Pause パケッ ト を再送できます。

優先モードで Pause パケッ トの数を少なくするため、 9 つあるタイマーのいずれかがタイムアウ トになる と、 その他のカウン ト中のタイマーもタイムアウ ト と見なされます。 また、現在のパケッ ト転送の完了を待っている間に別のタイマーがタイムアウ ト した場合、 またはユーザーが新しい要求を送信した場合は、 1 つの Pause フレームにマージされます。 たとえば 2 つのタイマーがカウン ト ダウンしている時にユーザーが 3 つ目の優先度の要求を送信した場合、カウン ト ダウンしていた 2 つのタイマーは強制的にタイムアウ トになり、現在パケッ ト転送中の場合は転送完了後ただちに 3 つの優先度すべての Pause パケッ トが送信されます。 また、 追加要求がなくても 2 つのタイマーのどちらかがタイムアウ トになる と も う片方のタイマーも強制的にタイムアウ トになり、現在パケッ ト転送中の場合は転送完了後ただちに両優先度の Pause パケッ トが送信されます。

ctl_tx_pause_req[8:0] または ctl_tx_pause_enable[8:0] の対応するビッ ト を 0 にする と、 Pause パケットの生成を停止できます。

10G/25G 高速 Ethernet v1.3 113PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

RX Pause の終了

10G/25G Ethernet コアは、 グローバルおよび優先 Pause フレームを終了し、 Pause パケッ トに応答できるよ うにシンプルなハンドシェイ ク インターフェイスを提供します。

Pause パケッ トの判断

Pause パケッ トは、 次の 3 つの手順で判断します。

1. パケッ トがグローバル制御または優先制御パケッ トかど うかを調べます。

手順 1 でグローバル制御または優先制御パケッ ト と判断されたパケッ トは、 ctl_rx_forward_control が 1の場合のみユーザー ロジッ クに転送されます。

2. 手順 1 でグローバル制御または優先制御パケッ ト と判断された場合、 そのパケッ トがグローバル Pause パケッ トかど うかを調べます。

3. 手順 2 でグローバル Pause パケッ トでないと判断された場合、 そのパケッ トが優先 Pause パケッ トかど うかを調べます。

手順 1 の判断は、 次の擬似コードで実行します。

assign da_match_gcp = (!ctl_rx_check_mcast_gcp && !ctl_rx_check_ucast_gcp) || ((DA == ctl_rx_pause_da_ucast) && ctl_rx_check_ucast_gcp) || ((DA == 48'h0180c2000001) && ctl_rx_check_mcast_gcp);assign sa_match_gcp = !ctl_rx_check_sa_gcp || (SA == ctl_rx_pause_sa);assign etype_match_gcp = !ctl_rx_check_etype_gcp || (ETYPE == ctl_rx_etype_gcp);assign opcode_match_gcp = !ctl_rx_check_opcode_gcp || ((OPCODE >= ctl_rx_opcode_min_gcp) && (OPCODE <= ctl_rx_opcode_max_gcp));assign global_control_packet = da_match_gcp && sa_match_gcp && etype_match_gcp && opcode_match_gcp && ctl_rx_enable_gcp;assign da_match_pcp = (!ctl_rx_check_mcast_pcp && !ctl_rx_check_ucast_pcp) || ((DA == ctl_rx_pause_da_ucast) && ctl_rx_check_ucast_pcp) || ((DA == ctl_rx_pause_da_mcast) && ctl_rx_check_mcast_pcp);assign sa_match_pcp = !ctl_rx_check_sa_pcp || (SA == ctl_rx_pause_sa);assign etype_match_pcp = !ctl_rx_check_etype_pcp || (ETYPE == ctl_rx_etype_pcp);assign opcode_match_pcp = !ctl_rx_check_opcode_pcp || ((OPCODE >= ctl_rx_opcode_min_pcp) && (OPCODE <= ctl_rx_opcode_max_pcp));assign priority_control_packet = da_match_pcp && sa_match_pcp && etype_match_pcp && opcode_match_pcp && ctl_rx_enable_pcp;assign control_packet = global_control_packet || priority_control_packet;

DA はデスティネーシ ョ ン アドレス、 SA はソース アドレス、 OPCODE はオペコード、 ETYPE は入力パケッ トから抽出した EtherType/Length フ ィールドを表します。

手順 2 の判断は、 次の擬似コードで実行します。

assign da_match_gpp = (!ctl_rx_check_mcast_gpp && !ctl_rx_check_ucast_gpp) || ((DA == ctl_rx_pause_da_ucast) && ctl_rx_check_ucast_gpp) || ((DA == 48'h0180c2000001) && ctl_rx_check_mcast_gpp);assign sa_match_gpp = !ctl_rx_check_sa_gpp || (SA == ctl_rx_pause_sa);assign etype_match_gpp = !ctl_rx_check_etype_gpp || (ETYPE == ctl_rx_etype_gpp);assign opcode_match_gpp = !ctl_rx_check_opcode_gpp || (OPCODE == ctl_rx_opcode_gpp);assign global_pause_packet = da_match_gpp && sa_match_gpp && etype_match_gpp && opcode_match_gpp && ctl_rx_enable_gpp;

DA はデスティネーシ ョ ン アドレス、 SA はソース アドレス、 OPCODE はオペコード、 ETYPE は入力パケッ トから抽出した EtherType/Length フ ィールドを表します。

手順 3 の判断は、 次の擬似コードで実行します。

10G/25G 高速 Ethernet v1.3 114PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

assign da_match_ppp = (!ctl_rx_check_mcast_ppp && !ctl_rx_check_ucast_ppp) || ((DA == ctl_rx_pause_da_ucast) && ctl_rx_check_ucast_ppp) || ((DA == ctl_rx_pause_da_mcast) && ctl_rx_check_mcast_ppp);assign sa_match_ppp = !ctl_rx_check_sa_ppp || (SA == ctl_rx_pause_sa);assign etype_match_ppp = !ctl_rx_check_etype_ppp || (ETYPE == ctl_rx_etype_ppp);assign opcode_match_ppp = !ctl_rx_check_opcode_ppp || (OPCODE == ctl_rx_opcode_ppp);assign priority_pause_packet = da_match_ppp && sa_match_ppp && etype_match_ppp && opcode_match_ppp && ctl_rx_enable_ppp;

DA はデスティネーシ ョ ン アドレス、 SA はソース アドレス、 OPCODE はオペコード、 ETYPE は入力パケッ トから抽出した EtherType/Length フ ィールドを表します。

ユーザー インターフェイス

Pause パケ ッ ト を受信する と、 ctl_rx_pause_enable[8:0]、 stat_rx_pause_req[8:0]、 およびctl_rx_pause_ack[8:0] バスを使用してシンプルなハンドシェイ ク プロ ト コルで通知されます。これらのバスのビッ ト [8] はグローバル Pause パケッ トに対応し、 ビッ ト [7:0] は優先 Pause パケッ トに対応します。

Pause パケッ ト を受信する と、 次の手順が実行されます。

1. ctl_rx_pause_enable[8:0] の対応するビッ トが 0 の場合、 クォンタムは無視され、 ハード CMAC は手順 1にとどま り ます。 それ以外の場合、 stat_rx_pause_req[8:0] バスの対応するビッ ト を 1 にセッ ト し、 受信したクォンタムの値をタイマーにロード します。

Pause 処理の手順 2 以降で ctl_rx_pause_enable[8:0] のいずれかのビッ トが 0 (無効) の場合、 コアは通常の手順を完了した後、 手順 1 に戻り ます。

2. ctl_rx_check_ack 入力が 1 の場合、 コアはユーザーが ctl_rx_pause_ack[8:0] バスの適切なビッ ト を 1にセッ トするまで待ちます。

3. ユーザーが ctl_rx_pause_ack[8:0] の適切なビッ ト を 1 にセッ ト した場合、または ctl_rx_check_ack が0 の場合、 コアはタイマーのカウン ト ダウンを開始します。

4. タイマーがタイムアウ トになる と、 コアは stat_rx_pause_req[8:0] の適切なビッ ト を 0 に戻します。

5. ctl_rx_check_ack 入力が 1 の場合、ユーザーが ctl_rx_pause_ack[8:0] バスの適切なビッ ト を 0 に戻せば処理が完了します。

ユーザーが ctl_rx_pause_ack[8:0] の適切なビッ ト を 0 に戻さなくても、 32 ク ロ ッ ク サイ クルが経過すると コアは処理が完了したものと見なします。

図 3-15 に、 これらの手順を波形で表したものを示します。 波形に記している数字は、 各手順の番号です。

手順 2 ~手順 5 の間に新しい Pause パケッ ト を受信する と、新たに取得したクォンタムの値がタイマーにロード され、処理を継続します。

X-Ref Target - Figure 3-15

図 3‐15 : RX Pause インターフェイスの例

• • • • • • •• • • • • •

10G/25G 高速 Ethernet v1.3 115PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

オート  ネゴシエーシ ョ ン図 3-16 に、 オート ネゴシエーシ ョ ン (AN) と リ ンク ト レーニング (LT) を備えた 10G/25G Ethernet コアのブロ ッ ク図を示します。

オート ネゴシエーシ ョ ン機能によって、Ethernet デバイスはバッ クプレーン Ethernet リ ンクのリモート エンドにあるも う 1 つのデバイスに動作モードを通知し、 そのデバイスがサポート できる動作モードを検出できるよ うにな り ます。 このオート ネゴシエーシ ョ ン機能の主な目的は、 2 つのデバイス間で情報を交換する手段を提供し、 各デバイスの性能を 大化できるよ うに 2 つのデバイスを自動的に設定するこ とです。また、デバイスがリ ンク パートナーへ確実に接続されるよ うにするため、 ク ロス トークによる信号検出ではなく、デジタル信号検出をサポートする という別の目的もあ り ます。 オート ネゴシエーシ ョ ンが完了する と、 利用できる動作モードに従って Ability 信号が生成されます。

リ ンクの両端で リ ンク ト レーニング機能がサポート されている場合、 オート ネゴシエーシ ョ ン完了後に リ ンク トレーニングが実行されます。 リ ンク ト レーニングは通常、デジタル信号がバッ クプレーンを通過する と きに生じる周波数依存性の損失を補正するために必要です。このコアに含まれる リ ンク ト レーニング ブロ ッ クの主な機能は、バックプレーン リ ンクを介してレジスタ情報やト レーニング シーケンスを提供するこ とで、 これらは受信回路 ( ト ランシーバーの一部) で解析されます。 も う 1 つの機能は、 レシーバーからのト レーニング フ ィードバッ クを対応する トランス ミ ッ ターへ渡すこ とで、 必要に応じてイコラ イザー回路 ( ト ランシーバーの一部) を調整できるよ うにな り ます。 意思決定アルゴ リズムは、 このコアに含まれていません。

図 3-16 のよ うに、オート ネゴシエーシ ョ ンおよびリ ンク ト レーニングが完了する と、データパスはミ ッシ ョ ン モード (PCS) に切り替わり ます。

概要

図 3-17 に、 OSI リ ファレンス モデル内のオート ネゴシエーシ ョ ン機能の位置を示します。

X-Ref Target - Figure 3-16

図 3‐16 : オート  ネゴシエーシ ョ ンと リンク  ト レーニングを備えたコア 

10G/25G 高速 Ethernet v1.3 116PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

ANIPC (Auto-Negotiation Intellectual Property Core) は、 IEEE Std 802.3-2012 第 73 項 (IEEE Std. P802.3ba および 802.3apに定義された修正条項を含む) で定義された要件を実装します。

ANIPC コアの機能は第 73 項で説明されています。詳細は、セクシ ョ ン 73.10.4 「State Diagrams」 の図 73-11 「Arbitrationstate diagram」 を参照してください。

X-Ref Target - Figure 3-17

図 3‐17 : OSI モデル内のオートネゴシエーシ ョ ン機能

10G/25G 高速 Ethernet v1.3 117PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

通常のミ ッシ ョ ン モード動作で、 リ ンク制御出力が 11 (bin) に設定されている場合、 ト ランシーバー入力および出力の一般的なビッ ト動作周波数は 10.3125 または 25.78125Gb/s とな り ます。ただし、オート ネゴシエーシ ョ ン中にレーン上で使用される DME (Dual Manchester Encoding) のビッ ト レートは、 ミ ッシ ョ ン モード動作とは異なり ます。 この要件に対応するため、 ANIPC コアはオーバーサンプ リ ングとオーバード ラ イビングを使用して、 156.25Mb/s のオート ネゴシエーシ ョ ン スピード (DME ク ロ ッ ク周波数 312.5MHz) と ミ ッシ ョ ン モードの 10.3125 または 25.78125Gb/s物理レーン スピードを一致させます。

機能の説明

autoneg_enable

autoneg_enable 入力信号が 1 にセッ ト されている場合は、 電源投入時、 キャ リ ア信号が失われた場合、 または入力信号 restart_negotiation が 0 から 1 に遷移した場合にオート ネゴシエーシ ョ ンが自動的に開始します。すべての Ability 入力信号および 2 つの信号 (PAUSE、 ASM_DIR) は、 ハード ウェアの機能を示すために Low または Highに接続されます。 nonce_seed[7:0] 入力は、 オート ネゴシエーシ ョ ン機能のすべてのインスタンスに対して固有の値 (0 以外) に設定される必要があ り ます。 これは、 電源投入時にデッ ド ロ ッ クを生じさせないために非常に重要です。相互接続された 2 つのリ ンク パートナーの nonce_seed[7:0] 入力が同じ値に設定された状態でオート ネゴシエーシ ョ ンを実行しよ う とする と、 オート ネゴシエーシ ョ ンが常にエラーとな り ます。 pseudo_sel 入力には任意の値を選択でき、 これによってオート ネゴシエーシ ョ ン中に使用される DME ページのビッ ト位置 49 で用いられるランダム ビッ ト ジェネレーターの多項式が選択されます。 この入力のすべての選択値が有効とな り、 反対の動作を招く こ とはあ り ません。

リンク制御

オート ネゴシエーシ ョ ンが開始する際、 これらのリ ンクの Ability 入力信号のステートに応じて、 さまざまな リ ンク制御信号がアクティブになり ます。その後、接続されている リ ンクのステータスを示すために、ANIPC ハードウェアによって対応する リ ンク ステータス信号が監視されます。使用しないリ ンクの制御出力は未接続とな り、対応する リンク ステータス入力は Low に接続される必要があ り ます。 この間、 ANIPC ハードウェアはリ ンク パートナーとの通信リ ンクを確立し、 この リ ンクを使用して接続の機能を交渉します。

オート  ネゴシエーシ ョ ン完了 (autoneg_complete)

オート ネゴシエーシ ョ ンが完了する と、 autoneg_complete 信号がアサート されます。 さ らに、前方誤り訂正ハード ウェアが使用される場合には、 出力信号 an_fec_enable がアサート されます。 つま り、 ト ランス ミ ッ ター ハードウェアを使用して Pause 制御パケッ ト を生成する場合は出力信号 tx_pause_en がアサート され、レシーバー ハードウェアを使用して Pause 制御パケッ ト を検出する場合は出力信号 rx_pause_en がアサート されて、選択した リ ンクの出力リ ンク制御はミ ッシ ョ ン モード値 (bin) 11 に設定されます。

重要 : autoneg_complete 信号は、 PCS から rx_status を受信するまでアサート されません。 つま り、 リ ンク ト レーニングが含まれている場合は、 リ ンク ト レーニングが完了して rx_status が High になるまで autoneg_complete 出力信号はアサート されません。

10G/25G 高速 Ethernet v1.3 118PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

リンク  ト レーニングリ ンク ト レーニングは、オート ネゴシエーシ ョ ンがバッ クプレーンや銅テク ノ ロジに到達した後に実行されます。手動入力やパラレル検出の結果からテク ノ ロジが選択されるこ と もあ り ます。 リ ンク ト レーニングは、デジタル信号がバッ クプレーンや銅ケーブルを通過する と きに生じる周波数依存性の損失を補正するために必要です。リ ンク ト レーニング コアの主な機能は、 バッ クプレーン リ ンクを介してレジスタ情報やト レーニング シーケンスを提供するこ とで、 これらは受信回路 (コアの一部ではない) で解析されます。

コアのも う 1 つの機能は、 レシーバーからの ト レーニング フ ィードバッ クを対応する ト ランス ミ ッ ターへ渡すこ とで、必要に応じてイコライザー回路 (コアの一部ではない) を調整できるよ うになり ます。 コアを構成する 2 つの回路は、 受信リ ンク ト レーニング ブロ ッ ク と送信リ ンク ト レーニング ブロ ッ クです。

重要 : この IP コアの外部には、 ト ランス ミ ッ ターのプリエンファシス タ ップを調整する役割を持つロジッ クが必要です。

送信

リ ンク ト レーニング送信ブロ ッ クは 4,384 ビッ ト フレームを構成し、 この中にはフレーム デミ リ ッ ター、 制御チャネル、 およびリ ンク ト レーニング シーケンスが含まれます。 図 3-18 に構造を示します。

X-Ref Target - Figure 3-18

図 3‐18 : リンク  ト レーニングのフレーム構造 

10G/25G 高速 Ethernet v1.3 119PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

ザイ リ ンクスでは、送信ステート マシンが制御チャネル ビッ ト を送信している間は、 リ ンク ト レーニング アルゴ リズムによって制御チャネル ビッ トが変更されないよ うにするこ とを推奨しています。このビッ トが変更される と、不正なビッ トが受信されて DME エラーを招く可能性があ り ます。 tx_SOF がアサート される と開始され、 288 ビッ ト時間後 (約 30ns) 後に完了します。

係数およびステータスにはライン レートで 128 ビッ ト時間と含まれている場合でも、これら 2 つのフ ィールドの実信号レート は 1/8 に削減されます。 したがって DME ク ロ ッ ク レートは、 ライン レートの 1/4 とな り ます。

フレーム マーカー

フレーム マーカーには、 16 個の連続する 1 とその後に続く 16 個の連続する 0 が含まれます。 このパターンは、 フレームの残りの部分で繰り返されません。

係数とステータス

これら 2 つのフ ィールドの DME 信号レートは 1/8 に削減されるため、 係数とステータスのそれぞれの送信に 128/8 =16 ビッ ト (それぞれ 15:0 の領域) が含まれます。表 3-4 および表 3-5 で、 これらのビッ トについて説明しています。送信順序はビッ ト 15 から開始し、 ビッ ト 0 で終了します。

表 3‐4 :係数およびアップデート  フ ィールド  ビッ トの定義

ビッ ト 名前 説明

15:14 予約 0 と して送信され、 受信側では無視されます。

13 プリセッ ト 1 = 係数をプリセッ ト0 = 通常動作

12 初期化 1 = 係数を初期化0 = 通常動作

11:6 予約 0 と して送信され、 受信側では無視されます。

5:4 係数 (+1) アップデート 1 1 = 予約0 1 = 増加1 0 = 減少 0 0 = ホールド

3:2 係数 (0) アップデート 1 1 = 予約0 1 = 増加1 0 = 減少0 0 = ホールド

1:0 係数 (-1) アップデート 1 1 = 予約0 1 = 増加1 0 = 減少0 0 = ホールド

10G/25G 高速 Ethernet v1.3 120PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

各ビッ トの機能については、 IEEE Std. 802.3 第 72 項で定義されています。 これらのビッ トの目的は、 リ ンク ト レーニング中に送信イコラ イザーを調整するために情報を伝達するこ とです。 対応する信号名については、 表 2-16 を参照してください。

ト レーニング シーケンス

ト レーニング シーケンスは、 4,094 ビッ トの擬似ランダム ビッ ト シーケンス (PRBS) とその後に続く 2 つの 0 で構成され、合計 4,096 ビッ トです。PRBS は、10.3125 ラ イン レート (25.78125Gb/s) で送信されます。PRBS ジェネレーターは、外部ソースから 11 ビッ トのシードを受信します。 初のシードがロード された後、 PRBS ジェネレーターは動作を継続し、 その後の介入は必要あ り ません。

PRBS ジェネレーター自体は、 次の多項式に対応する回路で実装されます。

G(x) = 1 + x9 + x11

受信

受信ブロッ クは、 IEEE Std. 802.3 第 72項の図 72-4 に示されているフレーム アライ メン ト ステート図を実装します。

フレーム ロック  ステート  マシン

フレーム ロ ッ ク ステート マシンは、 16 個の連続する 1 と 16 個の連続する 0 で構成されるフレーム マーカーを検索します。 この機能については、 IEEE Std. 802.3 第 72 項の図 72-4 に定義されています。 フレーム ロ ッ クが達成されると、 frame_lock がの値が TRUE にセッ ト されます。

受信データ

レシーバーは、 表 3-4 および表 3-5 で説明したビッ ト と 「ポートの説明」 で説明した信号名の制御チャネルを出力します。

表 3‐5 : ステータス レポート  フ ィールド  ビッ トの定義

ビッ ト 名前 説明

15 レシーバー レディ 1 = ト レーニングが完了し、データ受信の準備が整っている と ローカル レシーバーが判断した。

0 = ローカル レシーバーはト レーニングの継続を要求している。

14:6 予約 0 と して送信され、 受信側では無視されます。

5:4 係数 (+1) アップデート 0 1 = 小1 1 = 大1 0 = アップデート済み0 0 = アップデート されていない

3:2 係数 (0) アップデート 1 1 = 大0 1 = 小1 0 = アップデート済み0 0 = アップデート されていない

1:0 係数 (-1) アップデート 1 1 = 大0 1 = 小1 0 = アップデート済み0 0 = アップデート されていない

10G/25G 高速 Ethernet v1.3 121PG210 2016 年 6 月 8 日 japan.xilinx.com

第 3 章 : サブシステムを使用するデザイン

特定の DME フレームを受信する際に DME エラーが生じた場合、 制御チャネル出力はアップデート されず、 後に受信した正しい DME フレーム値が保持されます。 その後、 正しい DME フレームが受信される とアップデート されます。

10G/25G 高速 Ethernet v1.3 122PG210 2016 年 6 月 8 日 japan.xilinx.com

第 4章

デザイン フローの手順この章では、 コアのカスタマイズと生成、 制約、 およびシ ミ ュレーシ ョ ン/合成/インプ リ メ ンテーシ ョ ンの手順について説明します。一般的な Vivado® デザイン フローおよび Vivado IP インテグレーターの詳細は、次の Vivado DesignSuite ユーザー ガイ ドを参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 6]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 7]

コアのカスタマイズおよび生成こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado Design Suite でコアをカスタマイズおよび生成する方法について説明します。

Vivado IP インテグレーターでコアをカスタマイズおよび生成する場合は、 『Vivado Design Suite ユーザー ガイ ド : IPインテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4] を参照してください。IP インテグレーターは、デザインの検証または生成時に一部のコンフ ィギュレーシ ョ ン値を自動的に計算する場合があ り ます。値が変わるかど うかを確認するには、 この章のパラ メーターの説明を参照して ください。 パラ メーター値を確認するには、 Tcl コンソールから validate_bd_design コマンドを実行して ください。

IP はユーザー デザインに合わせてカスタマイズできます。 それには、 IP コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. IP カタログから IP を選択します。

2. 選択した IP をダブルク リ ッ クするか、 ツールバーまたは右ク リ ッ ク メニューから [Customize IP] コマンドをクリ ッ ク します。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] および 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 6] を参照してください。

注記 : この章の図には Vivado IDE のスク リーンシ ョ ッ トが使用されていますが、現在のバージ ョ ンとはレイアウ トが異なる場合があ り ます。

[Configuration] タブ

[Configuration] タブ (図 4-1) には、 基本のコア コンフ ィギュレーシ ョ ン オプシ ョ ンがあ り ます。

すべてのタブにはデフォルト値が入力されています。

10G/25G 高速 Ethernet v1.3 123PG210 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

X-Ref Target - Figure 4-1

図 4‐1 : [Configuration] タブ 

10G/25G 高速 Ethernet v1.3 124PG210 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

表 4‐1 : コンフ ィギュレーシ ョ ン  オプシ ョ ン

オプシ ョ ン 値 デフォルト

[General]

Select Core Ethernet MAC+PCS/PMAEthernet PCS/PMA

Ethernet MAC+PCS/PMA

Speed 25.7812G10.3125G

25.7812G

Runtime Switchable mode 0、 1 0

Num of Cores 1234

1

Clocking SynchronousAsynchronous

Asynchronous

Data Path Interface AXI Stream(1)

Media Independent Interface (MII)(2)AXI Stream

[PCS/PMA Options]

Base-R Base-KR Base-RBase-KR

Base-KR

include FEC Logic(3) NoneClause 74 (BASE-KR FEC)Clause 108 (RS-FEC)(4)

None

Auto Negotiation/Link Training Logic NoneInclude AN/LT Logic

None

AN/LT Clock (5MHz ~ 300MHz) 5Mhz ~ 300MHz 75MHz

[Control and Statistics Interface]

Control and Statistics Interface Control and Status VectorsInclude AXI4-Lite

Control and Status Vectors

注記 :

1. AXI4-Stream インターフェイスが表示されており、 Ethernet MAC+PCS/PMA コア専用のオプシ ョ ンです。

2. MII インターフェイスが表示されており、 Ethernet PCS/PMA コア専用のオプシ ョ ンです。

3. Include FEC Logic は、 Base-R でサポート されていません。

4. Clause 108 (RS-FEC) は、 10G のスピードでサポート されていません。 また、 Runtime Switchable mode でもサポート されていま

せん。

10G/25G 高速 Ethernet v1.3 125PG210 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

[MAC Options] タブ

[MAC Options] タブ (図 4-2) には、 そのほかのコア コンフ ィギュレーシ ョ ン オプシ ョ ンがあ り ます。

X-Ref Target - Figure 4-2

図 4‐2 : [MAC Options] タブ 

表 4‐2 : MAC オプシ ョ ン

オプシ ョ ン 値 デフォルト

[Optional Data Path Interface FIFO] 

Include FIFO Logic オン、 オフ オン

[Flow Control] 

Enable TX Flow Control Logic オン、 オフ オフ

Enable RX Flow Control Logic オン、 オフ オフ

[IEEE PTP 1588v2] 

Enable Timestamping Logic オン、 オフ オフ

Operation Mode One StepTwo Step

Two Step

10G/25G 高速 Ethernet v1.3 126PG210 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

[GT Selection and Configuration] タブ

[GT Selection and Configuration] タブ (図 4-3) では、 コアのシ リ アル ト ランシーバー機能を設定できます。

X-Ref Target - Figure 4-3

図 4‐3 : [GT Selection and Configuration] タブ

10G/25G 高速 Ethernet v1.3 127PG210 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

表 4‐3 : GT クロック  オプシ ョ ン

オプシ ョ ン 値 デフォルト

[GT Location]

GT IP をコアに含めるか、 サンプル デザインに含めるかを選択

Include GT subcore in coreInclude GT subcore in example design

Include GT subcore in core

[GT Clocks] 

GT RefClk (In : MHz)

161.1328125195.3125201.4160156257.8125322.265625

161.1328125

GT DRP Clock (In MHz) 10 ~ 250MHz 100.00

[Core to GT Association] 

GT TypeGTYGTH

GTY

GT Selection

デバイス /パッケージのク ワ ッ ド グループに基づいたオプシ ョ ン

例 : Quad X0Y1 Quad X0Y2Quad X0Y3...

Quad X0Y1

Lane-00 ~ Lane-03

デバイス /パッケージに基づいて自動入力

たとえば、Num of Core = 4 で GT Selection =Quad X0Y1 の場合、 4 つのレーンは次のとおりです。X0Y4X0Y5X0Y6X0Y7

[Others] 

Enable Pipeline Registers オン、 オフ オフ

Enable Additional GT Control/Status andDRP Ports オン、 オフ オフ

10G/25G 高速 Ethernet v1.3 128PG210 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

[Shared Logic] タブ

[Shared Logic] タブ (図 4-4) では、コアまたはサンプル デザインに含まれた共有ロジッ クをユーザーが使用できるよ うにします。

出力の生成

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] を参照してください。

X-Ref Target - Figure 4-4

図 4‐4 : [Shared Logic] タブ 

表 4‐4 :共有ロジック  オプシ ョ ン

オプシ ョ ン デフォルト

Include Shared Logic in coreInclude Shared Logic in example design

Include Shared Logic in core

10G/25G 高速 Ethernet v1.3 129PG210 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

コアへの制約こ こでは、 Vivado Design Suite でコアに制約を指定する方法について説明します。

必須の制約

このセクシ ョ ンは、 この IP コアには適用されません。

デバイス、 パッケージ、 スピード  グレードの選択

このセクシ ョ ンは、 この IP コアには適用されません。

クロック周波数

このセクシ ョ ンは、 この IP コアには適用されません。

クロック管理

このセクシ ョ ンは、 この IP コアには適用されません。

クロック配置

このセクシ ョ ンは、 この IP コアには適用されません。

バンク設定

このセクシ ョ ンは、 この IP コアには適用されません。

ト ランシーバーの配置

このセクシ ョ ンは、 この IP コアには適用されません。

I/O 規格と配置

このセクシ ョ ンは、 この IP コアには適用されません。

シミ ュレーシ ョ ンVivado シ ミ ュレーシ ョ ン コンポーネン ト について、 またサポー ト されているサードパーテ ィ ツールについては、『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 7] を参照して ください。

10G/25G 高速 Ethernet v1.3 130PG210 2016 年 6 月 8 日 japan.xilinx.com

第 4 章 : デザイン フローの手順

合成およびインプリ メンテーシ ョ ン合成およびインプ リ メンテーシ ョ ンの詳細は、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] を参照してください。

10G/25G 高速 Ethernet v1.3 131PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5章

サンプル デザインこの章では、 Vivado 統合設計環境 (IDE) を使用する場合に提供されるサンプル デザインについて説明します。

概要図 5-1 に、 GT (シ リ アル ト ランシーバー ) が IP コア内に含まれる場合での、 xxv_ethernet_0 サンプル デザインの単一コア コンフ ィギュレーシ ョ ンにおける各モジュールのインスタンシエーシ ョ ンと階層を示しています。

コアと GT 間のデータを同期させるために同期レジスタ とパイプライン レジスタを使用しています。コアで必要なクロ ッ ク周波数は、 クロ ッキング ヘルパー ブロ ッ クを使用して生成しています。

10G/25G 高速 Ethernet v1.3 132PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

各コンフ ィギュレーシ ョ ンで有効なユーザー インターフェイスは次のとおりです。

• MAC/PCS コンフ ィギュレーシ ョ ン

° データパス インターフェイス用に AXI4-Stream

° 制御および統計情報インターフェイス用に AXI4-Lite

• PCS コンフ ィギュレーシ ョ ン

° データパス インターフェイス用に MII

° 制御および統計情報インターフェイス用に AXI4-Lite

サニティ テス ト用のデータ パケッ ト を生成するために、 xxv_ethernet_0_pkt_gen_mon モジュールを使用します。 パケッ トの生成およびチェッ クは、 FSM モジュールで制御されます。

X-Ref Target - Figure 5-1

図 5‐1 :単一コアのサンプル デザインの階層 

HSEC_TOP_WRAPPER.V

HSEC.V

LT_RXTX_TOP

HALF_RATE_ADAPTER_I

P

HSEC_CORE.V

CORE.V

AN_PCONTROL

LT_RXTX_TOP

*_axi_if_top.v

AXI4_LITE_REG_MAP

AXI4_LITE_SLAVE_2_IPIF

HALF_RATE_ADAPTER_IP

HALF_RATE_ADAPTER_OP

xxv_ethernet_0.vxxv_ethernet_0_wrapper.v

xxv_ethernet_0_exdes.v

Traffic GEN / MON

Example_FSM

AXI-4 User Interface

xxv_ethernet_0_exdes_tb.v

xxv_ethernet_0_top.v

Stats signals

CTRL signals

AXI-Streaming / MII Interface signals

AXI4-Lite signals

gt_ref_clk_pgt_ref_clk_ndclksys_reset

ANLT Wrapper.V

Tx/Rx Clocking Helper Blocks

PipelineRegisters

PipelineRegisters

rx_gt_locked_led

rx_block_lock_led

completion_status

gt_tx*_out_0

gt_rx*_in_0

(*gt_common_wrapper.v)

GT Trans_debug(xxv_ethernet_0_

trans_debug.v)

pkt_gen_mon_0.v

GT Wiz

10G/25G 高速 Ethernet v1.3 133PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

オプシ ョ ン モジュールの説明は次のとおりです。

• xxv_ethernet _0_trans_debug : Vivado IDE の 「[GT Selection and Configuration] タブ」で [Anable Additional GT Control/Status and DRP Ports] または [Include GT subcore in example design] をオンにするか、[Configuration] タブで [RuntimeSwitchable mode] をオンにする とサンプル デザインに現れるモジュールです。 このモジュールには、 xxv_ethernetコアから、 ト ランシーバー モジュールのすべての GT チャネル DRP ポート と対応する制御ポートおよびステータス ポート を引き出します。

• リ タ イ ミ ング レジスタ : 「[GT Selection and Configuration] タブ」 で [Enable Retiming Register] をオンにする と、TXパスと RX パスそれぞれに gt_txusrclk2 と gt_rxusrclk2 を使用して、コアと GT 間に 1 段のパイプラインレジスタが挿入されます。 これによってタイ ミ ング要件が緩和されます。 ただし、 デフォルト設定では、 GT とコア間の信号に 2 段のレジスタが挿入されています。

• TX / RX 同期レジスタ : TX 同期レジスタは、コアから GT へのデータを tx_clk に対して二重に同期します。RX同期レジスタは、 GT からコアへのデータを rx_serdes_clk に対して二重に同期します。

注記 : [Runtime Switchable mode] をオンにしている場合、 Vivado IDE でオートネゴシエーシ ョ ン/ リ ンク ト レーニングロジッ クを含むよ うに設定している と、 AN 動作は切り替え時に 10G データ レートでのみ可能で、 LT はミ ッシ ョ ンモードで実行されます。

図 5-2 に、 xxv_ethernet_0 サンプル デザインの複数コア コンフ ィギュレーシ ョ ンにおける各モジュールのインスタンシエーシ ョ ンおよび階層を示しています。

X-Ref Target - Figure 5-2

図 5‐2 :複数コア サンプル デザインの階層 

pkt_gen_mon_0.v

xxv_ethernet_0_exdes.v

Traffic GEN / MON

Example_fsm

AXI-4 User Interface

xxv_ethernet_0_exdes_tb.v

gt_ref_clk_pgt_ref_clk_ndclksys_reset

rx_gt_locked_led

rx_block_lock_led

completion_status

gt_tx*_out_*

gt_rx*_in_*

GT Trans_debug(xxv_ethernet_0_t

rans_debug.v)Clocking Helper Block

xxv_ethernet_0_wrapper.v

Stats signals

Ctrl signals

AXI4-Lite signals

Clocking Helper Block

Clocking Helper Block

Tx/Rx Clocking Helper Blocks

AXI-Streaming / MII Interface signals

HSEC.V

HSEC_CORE.V

CORE.V

AN_PCONTROL

LT_RXTX_TOP

*_axi_if_top.v

AXI4_LITE_REG_MAP

AXI4_LITE_SLAVE_2_IPIF

HALF_RATE_ADAPTER_IP

HALF_RATE_ADAPTER_OP

xxv_ethernet_0_top.v

ANLT Wrapper.V

GT WizGT WizGT Wiz

xxv_ethernet_0.v

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

*gt_common_wrapper.v

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

GT Wiz

10G/25G 高速 Ethernet v1.3 134PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

サンプル デザインの階層 (GT はサンプル デザインに含まれる)

図 5-3 に、 GT (シ リ アル ト ラ ンシーバー ) が IP コ アの外部 (つま り、 サンプル デザイ ン内) にあ る場合での、xxv_ethernet_0 サンプル デザインの単一コア コンフ ィ ギュレーシ ョ ンにおける各モジュールのインスタンシエーシ ョ ンおよび階層を示しています。 [GT Selection and Configuration] タブで [Include GT subcore in example design] をオンにする と、 この階層構造のサンプル デザインが提供されます。

[GT Selection and Configuration] タブで [Include GT subcore in example design] をオンにするか、 [Shared Logic] タブで[Include Shared Logic in example design] をオンにする と、階層内に xxv_ethernet_0_core_support.v が現れます。これは、 [Include Shared Logic in example design] オプシ ョ ンの xxv_ethernet_0_sharedlogic_wrapper.v モジュールと xxv_ethernet_0.v モジュールをインスタンシエート します。 [Include GT subcore in example design] をオンにした場合は、 xxv_ethernet_0_gt_wrapper.v モジュールが現れます。

「概要」 で説明したとおり、 MAC/PCS コンフ ィギュレーシ ョ ンと PCS コンフ ィギュレーシ ョ ンで有効なユーザー インターフェイスは同じです。

xxv_ethernet_0.v モジュールは、コアと GT 間のデータを同期させるために必要な同期レジスタ / リ タイ ミ ング パイプライン レジスタをインスタンシエート します。

X-Ref Target - Figure 5-3

図 5‐3 :サンプル デザインに GT が含まれる単一コアの階層

HSEC_TOP_WRAPPER.V

LT_RXTX_TOP

HALF_RATE_ADAPTER_I

P

pkt_gen_mon_0.v

xxv_ethernet_0.v

xxv_ethernet_0_wrapper.v

Traffic GEN / MON

Example_FSM

AXI-4 User Interface

xxv_ethernet_0_exdes_tb.v

Stats signals

CTRL signals

AXI-Streaming / MII Interface signals

AXI4-Lite signals

gt_ref_clk_pgt_ref_clk_ndclksys_reset

PipelineRegisters

PipelineRegisters

rx_gt_locked_led

rx_block_lock_led

completion_status

gt_tx*_out_0

gt_rx*_in_0

GT Trans_debug(xxv_ethernet_0_

trans_debug.v)

GT Wiz

Tx/Rx Clocking Helper Blocks

*_gt_wrapper

xxv_ethernet_0_exdes.v

*_sharedlogic_wrapper

*_clocking_wrapper

*_reset_wrapper

*_common_wrapper

xxv_ethernet_0_core_support.v

CORE.V

AN_PCONTROL

LT_RXTX_TOP

*_axi_if_top.v

AXI4_LITE_REG_MAP

AXI4_LITE_SLAVE_2_IPIF

HALF_RATE_ADAPTER_IP

HALF_RATE_ADAPTER_OP

xxv_ethernet_0_top.v

ANLT Wrapper.V

10G/25G 高速 Ethernet v1.3 135PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

サニティ テス ト用のデータ パケッ ト を生成するために、 xxv_ethernet_0_pkt_gen_mon モジュールを使用します。 パケッ トの生成およびチェッ クは、 FSM (有限ステート マシン) モジュールで制御されます。

オプシ ョ ン モジュールの説明は次のとおりです。

• xxv_ethernet _0_sharedlogic_wrapper

[GT Selection and Configuration] タブで [Include GT subcore in example design] をオンにするか、 [Shared Logic] タブで [Include Shared Logic in example design] をオンにする と、 サンプル デザインにこのモジュールが現れます。 このモジュールには、 複数 IP コアと IP コアの外部デザインが共有できるすべてのモジュールが含まれます。

• xxv_ethernet _0_gt_wrapper

[GT Selection and Configuration] タブで [Include GT subcore in example design] をオンにする と、 サンプル デザインにこのモジュールが現れます。 このモジュールは、 さまざまなヘルパー ブロ ッ ク と共に GT をインスタンシエート します。 コアで必要なクロ ッ ク周波数は、 ク ロ ッキング ヘルパー ブロ ッ クを使用して生成します。

図 5-4 に、GT がサンプル デザイン内に含まれる場合での、xxv_ethernet_0 サンプル デザインの複数コア コンフ ィギュレーシ ョ ンにおける各モジュールのインスタンシエーシ ョ ンおよび階層を示しています。

X-Ref Target - Figure 5-4

図 5‐4 :サンプル デザインに GT が含まれる複数コアの階層

HSEC_TOP_WRAPPER.V

LT_RXTX_TOP

HALF_RATE_ADAPTER_I

P

xxv_ethernet_0.v

xxv_ethernet_0_wrapper.v

xxv_ethernet_0_exdes_tb.v

gt_ref_clk_pgt_ref_clk_ndclksys_reset

rx_gt_locked_led

rx_block_lock_led

completion_status

xxv_ethernet_0_exdes.v

pkt_gen_mon_0.vpkt_gen_mon_0.vpkt_gen_mon_0.vpkt_gen_mon_0.v

Traffic GEN / MON

Example_FSM

AXI-4 User Interface

GT Trans_debug(xxv_ethernet_0_

trans_debug.v)

*_sharedlogic_wrapper

*_clocking_wrapper

*_common_wrapper

*_reset_wrapper*_reset_wrapper*_reset_wrapper*_reset_wrapper

Tx/Rx Clocking Helper BlocksTx/Rx Clocking Helper BlocksTx/Rx Clocking Helper BlocksTx/Rx Clocking Helper Blocks

GT Wiz_0GT Wiz_0GT Wiz_0GT Wiz_0

*_gt_wrappergt_tx*_out_*

gt_rx*_in_*

Stats signals

Ctrl signals

AXI4-Lite signals

AXI-Streaming / MII Interface signals

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

xxv_ethernet_0_core_support.v

CORE.V

AN_PCONTROL

LT_RXTX_TOP

*_axi_if_top.v

AXI4_LITE_REG_MAP

AXI4_LITE_SLAVE_2_IPIF

HALF_RATE_ADAPTER_IP

HALF_RATE_ADAPTER_OP

xxv_ethernet_0_top.v

ANLT Wrapper.V

10G/25G 高速 Ethernet v1.3 136PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

ユーザー インターフェイスサンプル デザインを制御するために GPIO (汎用 I/O) が提供されています。 表 5-1 では、 ユーザー入力ポート とユーザー出力ポートについて説明しています。

表 5‐1 :ユーザー入力ポートおよびユーザー出力ポート

ポート名 サイズ 方向 説明

sys_reset 1 入力 xxv_ethernet コアのリセッ ト 。

gt_ref_clk_p 1 入力 GT への差動入力クロ ッ ク。

gt_ref_clk_n 1 入力 GT への差動入力クロ ッ ク。

dclk 1 入力 GT への安定したフ リー ランニングの入力クロ ッ ク。

rx_gt_locked_led_0 1 出力 GT がロッ ク したこ とを示します。

rx_block_lock_led_0 1 出力 RX ブロ ッ クのロ ッ クが達成されたこ とを示します。

restart_tx_rx_0 1 入力パケッ ト ジェネレーターおよびパケッ ト モニターがアイ ドル状態のと きに、この信号を使用して、データ サニティ テス トのためにパケッ ト生成およびパケッ ト受信を再開します。

completion_status 5 出力

テス トのステータス /結果を示します。

• 5’d0 テス トは実行されていない

• 5’d1 25GE/10GE コア テス トに合格

• 5'd2 いずれのレーンでもブロッ ク ロ ッ ク されていない

• 5'd3 すべてのレーンがブロッ ク ロ ッ クを達成しているわけではない

• 5'd4 ブロ ッ ク ロ ッ クを達成した後に一部のレーンのブロ ッ ク ロ ッ クが失われた

• 5'd5 すべてのレーンが同期を達成していない

• 5'd6 すべてのレーンが同期を達成しているわけではない

• 5'd7 同期を達成した後に一部のレーンの同期が失われた

• 5'd8 アライ メン ト ステータスまたは rx_status が完了していない

• 5'd9 アライ メン ト ステータス と rx_status の両方が完了した後に、 これらが失われた

• 5'd10 TX がタイムアウ ト

• 5'd11 TX データが送信されていない

• 5'd12 受信したパケッ ト数が送信したパケッ ト数と等し くない

• 5'd13 受信した合計バイ ト数が送信した合計バイ ト数と等し くない

• 5'd14 プロ ト コル エラーが検出された • 5'd15 受信したパケッ トにビッ ト エラーが検出された

• 5'd31 テス トが リセッ ト状態で停止

mode_change_0 1 入力このポートは、 Vivado IDE で [Runtime Switchable mode] がオンの場合のみ有効で、 コア スピードを変更する場合に使用されます。

core_speed_0 1 入力コアの動作スピードを示します。

1’b1 = 10G、 1’b0 = 25G

10G/25G 高速 Ethernet v1.3 137PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

デュプレックス モードの動作この動作モードでは、 コアの ト ランス ミ ッ ターとレシーバーの両方がアクティブにな り、 GT 出力インターフェイスでループバッ ク (出力を入力と してフ ィードバッ ク ) が実行されます。 また、 パケッ ト ジェネレーターとパケッ ト モニター モジュールもアクティブになり ます。ジェネレーター モジュールは、任意のパケッ ト数を生成し、有効なデータ インターフェイスを使用してそれらをコアへ送信します。 モニター モジュールは、 レシーバーからのパケッ ト を監視します。

図 5-5 に、 デュプレッ クス モードの動作を示します。

Runtime Switchable モードこのコンフ ィギュレーシ ョ ンでは、 ラ イン レート を 10G から 25G へ (または 25G から 10G へ) で柔軟に切り替えるこ とが可能です。この機能を有効にするには、[Configuration] タブで [Runtime Switchable mode] をオンにしてください。

このオプシ ョ ンがオンに設定されている と、 サンプル デザイ ンの *_pkt_gen_mon.v モジ ュール内に*_trans_debug モジュールが現れます。*_trans_debug モジュールは、ト ランシーバー モード (10G から 25G へ、または 25G から 10G へ) を切り替えるためのすべての GT DRP 書き込み動作を実行します。mode_change_* 入力信号を 2 ク ロ ッ ク サイ クル間 High に設定し、 その後 Low にする と、 特定コアの GT チャネルへの DRP 書き込み動作が開始し、 コアがリセッ ト されます。

DRP 書き込みは、 そのチャネルに対してのみ完了します。 GT コモン ブロ ッ クの QPLL0 はライン レート 25G に固定され、 QPLL1 はライン レート 10G に固定されます。

図 5-6 に、 このプロセスで発生するステート遷移を示します。

X-Ref Target - Figure 5-5

図 5‐5 :デュプレックス モードの動作

10G/25G 高速 Ethernet v1.3 138PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

X-Ref Target - Figure 5-6

図 5‐6 : Runtime Switchable DRP 動作のステート遷移図 (AN/LT なし )

IDLE_STATE

done_cnt[4] == 1'b1

WR_STATE

WR_RDY_STATE

READ_STATE

RMW_RDY_STATE

RD_MODIFY_WR_STATE

DONE_STATE

MODE_SWITCH_STATE

(rdy_reg && wr_index == INDEX_MAX1)

(rdy_reg && wr_index < INDEX_MAX1)

(rdy_reg && wr_index == INDEX_MAX2 && rd_flag)

(rdy_reg && wr_index < INDEX_MAX2 && rd_flag)

(rdy_reg && rd_index <= INDEX_MAX2 && rd_flag == 1'b0)

(ten_to_twentyfive || twentyfive_to_ten)

10G/25G 高速 Ethernet v1.3 139PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

X-Ref Target - Figure 5-7

図 5‐7 : Runtime Switchable DRP 動作のステート遷移図 (AN/LT あり )

10G/25G 高速 Ethernet v1.3 140PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

共有ロジックのインプリ メンテーシ ョ ン共有ロジッ クには共有できるすべてのモジュールが含まれており、コアの一部またはサンプル デザインにインスタンシエートできます。

デフォル ト で、 IP コアには GT コモン、 リ セッ ト ロジッ ク、 およびク ロ ッキング モジュールがインスタンシエート されます。 次の条件下では、 これらのモジュールがその他のデザイン と共有できるよ うに、 コアの外部に配置されます。

• [GT Selection and Configuration] タブで[Include GT subcore in example design] をオンにした場合。

• [Shared Logic] タブで [Include Shared Logic in example design] をオンにした場合。

[Include Shared Logic in example design] をオンにし た場合は、 xxv_ethernet_*_exdes.v と DUT の間 (つま りxxv_ethernet_*.v) に新しい xxv_ethernet_*_core_support.v モジュールがインスタンシエート されます。このモジュールには、 複数デザイン間で共有できるサブ モジュールがすべて含まれます。

10G/25G 高速 Ethernet v1.3 141PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

図 5-8 に、共有ロジッ クを単一コアのサンプル デザインにインスタンシエート した場合のインプ リ メンテーシ ョ ンを示します。

X-Ref Target - Figure 5-8

図 5‐8 :共有ロジックを含む単一コアのサンプル デザインの階層 

xxv_ethernet_0_exdes.v

Traffic GEN / MON

Example_FSM

AXI-4 User Interface

xxv_ethernet_0_exdes_tb.v

gt_ref_clk_pgt_ref_clk_ndclksys_reset

rx_gt_locked_led

rx_block_lock_led

completion_status

gt_tx*_out_0

gt_rx*_in_0

GT Trans_debug(xxv_ethernet_0_

trans_debug.v)

pkt_gen_mon_0.v

HSEC_TOP_WRAPPER.V

HSEC.V

LT_RXTX_TOP

HALF_RATE_ADAPTER_I

P

GT Wiz

HSEC_CORE.V

CORE.V

AN_PCONTROL

LT_RXTX_TOP

*_axi_if_top.v

AXI4_LITE_REG_MAP

AXI4_LITE_SLAVE_2_IPIF

HALF_RATE_ADAPTER_IP

HALF_RATE_ADAPTER_OP

xxv_ethernet_0.vxxv_ethernet_0_wrapper.v

xxv_ethernet_0_top.v

Stats signals

CTRL signals

AXI-Streaming / MII Interface signals

AXI4-Lite signals

ANLT Wrapper.V

Tx/Rx Clocking Helper Blocks

PipelineRegisters

PipelineRegisters

*_sharedlogic_wrapper

xxv_ethernet_0_core_support.v

*_clocking_wrapper

*_reset_wrapper

*_common_wrapper

10G/25G 高速 Ethernet v1.3 142PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

図 5-9 に、共有ロジッ クを複数コアのサンプル デザインにインスタンシエート した場合のインプ リ メンテーシ ョ ンを示します。

次のモジュールは、 共有ロジッ クのラ ッパーです。

• *_clocking_wrapper

このモジュールには、 その他のデザインと共有できるすべての clk リ ソースがすべて含まれます。

• *_common_wrapper

このモジュールには、 その他のデザインと共有できる GT コモンが含まれます。

• *_reset_wrapper

このモジュールには、 選択した Vivado IDE コンフ ィギュレーシ ョ ン用のすべての リ セッ ト ロジッ クが含まれます。

X-Ref Target - Figure 5-9

図 5‐9 :共有ロジックを含む複数コアのサンプル デザインの階層 

xxv_ethernet_0_exdes.v

xxv_ethernet_0_exdes_tb.v

pkt_gen_mon_0.v

Traffic GEN / MON

Example_fsm

AXI-4 User Interface

gt_ref_clk_pgt_ref_clk_ndclksys_reset

rx_gt_locked_led

rx_block_lock_led

completion_status

gt_tx*_out_*

gt_rx*_in_*

GT Trans_debug(xxv_ethernet_0_trans_debug.v)Clocking

Helper Block

xxv_ethernet_0_wrapper.v

Stats signals

Ctrl signals

AXI4-Lite signals

Clocking Helper Block

Clocking Helper Block

Tx/Rx Clocking Helper Blocks

AXI-Streaming / MII Interface signals

GT WizGT WizGT Wiz

xxv_ethernet_0.v

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

PipelineRegisters

GT Wiz

*_sharedlogic_wrapper

*_clocking_wrapper

*_reset_wrapper

*_common_wrapper

*_reset_wrapper*_reset_wrapper*_reset_wrapper

xxv_ethernet_0_core_support.v

HSEC.V

HSEC_CORE.V

CORE.V

AN_PCONTROL

LT_RXTX_TOP

*_axi_if_top.v

AXI4_LITE_REG_MAP

AXI4_LITE_SLAVE_2_IPIF

HALF_RATE_ADAPTER_IP

HALF_RATE_ADAPTER_OP

xxv_ethernet_0_top.v

ANLT Wrapper.V

10G/25G 高速 Ethernet v1.3 143PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

AXI4‐Lite インターフェイスのインプリ メンテーションxxv_ethernet コアの制御レジスタおよびステータス レジスタへアクセスするための AXI4-Lite インターフェイスをインスタンシエートするには、 Vivado IDE の 「[Configuration] タブ」 で [Include AXI4-Lite] をオンにする必要があ り ます。 このオプシ ョ ンによ って、 xxv_ethernet _0_axi_if_top (xxv_ethernet_0_pif_registers とxxv_ethernet _0_slave_2_ipif モジュールを含む) が有効になり ます。この AXI4-Lite インターフェイス ロジック レジスタ (制御、ステータス、統計) へは、xxv_ethernet _0_pkt_gen_mon モジュールからアクセス可能です。

このモードでは、 次のこ とが可能です。

• AXI4-Lite インターフェイスを介して、 コアのすべての制御 (CTL) ポート を設定できます。 レジスタ マップ インターフェイスを介して一連のアドレス ロケーシ ョ ンに必要なデータを書き込むこ とで、 この動作を実行できます。

• AXI4-Lite インターフェイスを介して、 コアからすべてのステータス レジスタおよび統計レジスタへアクセスできます。 レジスタ マップを介してステータス レジスタおよび統計レジスタのアドレス ロケーシ ョ ンを読み出すこ とで、 この動作を実行できます。

IEEE Clause 108 (RS‐FEC) の統合25G Ethernet IP と GT の間に IEEE clause 108 RS-FEC ソ フ ト IP を統合するには、 [Configuration] タブで [Clause 108(RS-FEC)] ををオンにしてこれを含むよ う選択する必要があ り ます。 このオプシ ョ ンは、 25G スピードの場合のみ有効です。

X-Ref Target - Figure 5-10

図 5‐10 : 25G と  GT 間に RS‐FEC を統合

10G/25G 高速 Ethernet v1.3 144PG210 2016 年 6 月 8 日 japan.xilinx.com

第 5 章 : サンプル デザイン

これで、 25G コアと GT 間に IEEE Clause108 RS-FEC ソフ ト IP コンポーネン トがインスタンシエート されます。 25Gコアからの TX SerDes ラ インは、 FEC エンコーディングを行うために RS-FEC ソフ ト IP へ入力されます。 RS-FEC モジュールから出力されたデータは、 その後 GT へと伝搬されます。 同様に、 GT からの RX SerDes ラ インは、 エラー訂正デコーディングを行うために RS-FEC へ入力され、 その後 25G コアへ伝搬されます。

LogiCORE™ IP の IEEE clause 108 Reed-Solomon Forward Error Correction および機能説明の詳細は、『25G IEEE 802.3byReed-Solomon Forward Error Correction LogiCORE IP 製品ガイ ド』 (PG217) [参照 12] を参照してください。

10G/25G 高速 Ethernet v1.3 145PG210 2016 年 6 月 8 日 japan.xilinx.com

第 6章

バッチ モードのテストベンチ10G/25G Ethernet Subsystem のそれぞれのバッチ モード リ リースには、完全なサブシステム上でループバッ ク テス トを実行するデモ テス トベンチが含まれています。参考と して、いくつかの業界標準シ ミ ュレータからテス トベンチを起動するためのスク リプ ト を提供しています。 The test program exercises the datapath to check that the transmitted framesare received correctly.サブシステムの RTL (Register Transfer Level) シ ミ ュレーシ ョ ン モデルが含まれています。 使用している Vivado® Design Suite バージ ョ ンにおける 新シ ミ ュレーシ ョ ン環境設定に従って、 ト ランシーバー シ ミ ュレーシ ョ ン モデル用に適切なパスを指定する必要があ り ます。

X-Ref Target - Figure 6-1

図 6‐1 : テストベンチ

wrapper

DUT

gt_if

simulation model

hsec cores loopback_release_test

launched with scripts for NCVerilog, Questa Sim, or VCS

RX data

TX data

Demonstration Test Bench

10G/25G 高速 Ethernet v1.3 146PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 A

移行およびアップグレードこの付録では、 Vivado Design Suite の 新版 IP コアへのアップグレードについて説明します。

新版の Vivado® Design Suite へデザインをアップグレードするためのスク リプ トが提供されています。 スク リプ トは、 /compile/xilinx/upgrade_IP ディ レク ト リにあ り ます。 このスク リプ ト を実行して、 次のアップグレードを行います。

• ト ランシーバー ラ ッパーを 新バージ ョ ンにアップグレード します。

• 新のト ランシーバー シ ミ ュレーシ ョ ン モデルを使用します。アップグレード されていない場合は、シ ミ ュレーシ ョ ン エラーとな り ます。

ヒン ト : 前バージ ョ ンへ戻す必要がある場合に備えて、スク リプ トの実行前にオリジナル デザインのコピーを保存しておいてください。

10G/25G 高速 Ethernet v1.3 147PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 B

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソースおよびデバッグ ツールについて説明します。

ヒン ト : IP の生成にエラーが発生し停止した場合、 ラ イセンスに問題がある可能性があ り ます。 詳細は、 第 1 章の「ライセンス チェッカー」 を参照してください。

ザイリンクス ウェブサイ ト10G/25G Ethernet を使用した設計およびデバッグでヘルプが必要な場合は、 ザイ リ ンクス サポート ウェブ ページから製品の資料、 リ リース ノート、 アンサーなどを参照するか、 テクニカル サポートでケースを開いてください。

資料

この製品ガイ ドは 10G/25G Ethernet に関する主要資料です。 このガイ ド並びに全製品の設計プロセスをサポートする資料はすべて、 ザイ リ ン ク ス サポー ト ウ ェブ ページ (http://japan.xilinx.com/support) または Xilinx DocumentationNavigator から入手できます。

Xilinx Documentation Navigator はダウンロード ページからダウンロードできます。 このツールの詳細および機能は、インス トール後にオンライン ヘルプを参照してください。

ソリューシ ョ ン  センター

デバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 デザイン アシスタン ト 、 デザイン アドバイザリ、 ト ラブルシュートのヒ ン ト などが含まれます。

イーサネッ ト IP ソ リ ューシ ョ ン センターを参照して ください。

10G/25G 高速 Ethernet v1.3 148PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 B : デバッグ

アンサー 

アンサーには、 よ く発生する問題についてその解決方法、およびザイ リ ンクス製品に関する既知の問題などの情報が記載されています。アンサーは、ユーザーが該当製品の 新情報にアクセスできるよ う作成および管理されています。

このコアに関するアンサーの検索には、 ザイ リ ンクス サポート ウェブ ページにある検索ボッ クスを使用します。 より的確な検索結果を得るには、 次のよ うなキーワードを使用してください。

• 製品名

• ツールで表示される メ ッセージ

• 問題の概要

検索結果は、 フ ィルター機能を使用してさ らに絞り込むこ とができます。

10G/25G Ethernet に関するマスター アンサー

AR : 64710

テクニカル サポート

ザイ リ ンクスは、 製品資料の説明に従って使用されている製品に対するテクニカル サポート をザイ リ ンクス サポート ウェブ ページで提供しています。 次のいずれかに該当する場合、 タイ ミ ング、 機能、 製品サポートは保証されません。

• 資料で定義されていないデバイスにソ リ ューシ ョ ンをインプリ メン ト した場合

• 資料で定義されている許容範囲を超えてカスタマイズした場合

• 「DO NOT MODIFY」 と されているデザイン セクシ ョ ンに変更を加えた場合

テクニカル サポートへのお問い合わせは、 http://japan.xilinx.com/support にアクセスしてください。

10G/25G 高速 Ethernet v1.3 149PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 B : デバッグ

デバッグ ツール10G/25G Ethernet デザインの問題を解決するには、 数多くのツールを利用できます。 さまざまな状況をデバッグするのに有益なツールを理解しておく こ とが重要です。

Vivado Design Suite のデバッグ機能

Vivado® Design Suite のデバッグ機能は、Logic Analyzer および Virtual I/O コアをユーザー デザインに直接挿入します。デバッグ機能を使用する と、 ト リガー条件を設定して、 アプリ ケーシ ョ ンおよび統合ブロ ッ クのポート信号をハードウェアに取り込むこ とができます。 取り込まれた信号は、 その後解析できます。 Vivado IDE のこの機能は、 ザイ リ ンクス デバイスで実行されるデザインの論理デバッグおよび検証に使用されます。

Vivado ロジッ ク解析は次の IP ロジッ ク デバッグ コアと共に使用されます。

• ILA 2.0 (およびそれ以降のバージ ョ ン)

• VIO 2.0 (およびそれ以降のバージ ョ ン)

詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 8] を参照してください。

リファレンス ボード

10G/25G Ethernetはさまざまなザイ リ ンクス開発ボードでサポート されています。 これらのボードを使用してデザインのプロ ト タイプを作成し、 コアがシステムと通信できるよ うにします。

• UltraScale™ FPGA 評価ボード

° VCU107

° VCU108

シミ ュレーシ ョ ン デバッグ

シミ ュレータ  ライセンスの利用状況

シ ミ ュレータが起動しない場合、 ユーザーが有効なライセンスを保有していない可能性があ り ます。 ライセンスが新版であるこ とを確認してください。ユーザーが属する組織がほかのシ ミ ュレータに有効なライセンスを保有している可能性もあるため、 提供されているすべてのスク リプ ト を実行してください。

低速シミ ュレーシ ョ ン

一定条件では、 シ ミ ュレーシ ョ ン動作が低速になる可能性があ り ます。 極度に動作が遅い場合は、 次の推奨事項に従う こ とでランタイム性能が向上する場合があ り ます。

1. 大容量メモ リ搭載の高速コンピューターを使用する。

2. Platform LSF (Load Sharing Facility) を利用する (可能な場合)。

3. ザイ リ ンクス ト ランシーバーをバイパスする (この場合、 ユーザーが独自のテス ト ベンチを作成する必要がある)。

4. 送信するパケッ ト数を削減する。

10G/25G 高速 Ethernet v1.3 150PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 B : デバッグ

シ ミ ュレーシ ョ ンが完了する前にエラーが生じる

サンプル シ ミ ュレーシ ョ ンが完了する前にエラーまたはハングアップする場合は、 タ イムアウ ト が起こっている可能性があ り ます。 シ ミ ュレータのタ イムアウ ト 値がシ ミ ュレーシ ョ ン中の待機時間 (例 : レーン アラ イ メ ン トフェーズ) に対応できる十分な長さである こ と を確認して ください。

シミ ュレーシ ョ ンは完了するがエラーとなる

サンプル シ ミ ュレーシ ョ ンがエラーで完了する場合は、 ザイ リ ンクスのテクニカル サポートへお問い合わせください。各リ リースは出荷前にテス ト されており、通常は問題なく完了するはずです。サンプル シ ミ ュレーシ ョ ンの予想される動作については、 そのログ ファ イルを参照してください。

図 B-1 に、 Questa® SIM によるシ ミ ュレーシ ョ ン デバッグ フローを示します。 ほかのシ ミ ュレータについても、 同様の手法を使用できます。

10G/25G 高速 Ethernet v1.3 151PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 B : デバッグ

X-Ref Target - Figure B-1

図 B‐1 : Questa SIM シミ ュレーシ ョ ン  デバッグ フロー

10G/25G 高速 Ethernet v1.3 152PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 B : デバッグ

ハードウェア デバッグハードウェアの問題は、 リ ンク立ち上げ時の問題から、 テス ト後に生じる問題までさまざまです。 こ こでは、 一般的な問題のデバッグ手順を説明します。 Vivado のデバッグ機能は、 ハード ウェア デバッグに有益な リ ソースです。 次の各セクシ ョ ンに示す信号を Vivado のデバッグ機能でプローブするこ とで、 個々の問題をデバッグできます。

一般的なチェ ック

コアに対するタイ ミ ング制約がサンプル デザインからすべて適切に取り込まれているこ と、さ らにインプ リ メンテーシ ョ ン時にこれらの制約がすべて満たされているこ とを確認します。

• 配置配線後のタイ ミ ング シ ミ ュレーシ ョ ンで正し く動作しているかを確認します。 タイ ミ ング シ ミ ュレーシ ョンでは発生しない問題がハードウェアで発生する場合、PCB の問題である可能性があ り ます。すべてのクロ ッ クソースがアクティブでク リーンであるこ とを確認してください。

• デザインで MMCM ( ミ ッ クス ド モード ク ロ ッ ク マネージャー ) を使用している場合、 LOCKED ポート をモニターして、 すべての MMCM がロ ッ ク しているこ とを確認します。

• 出力が 0 になった場合は、 ライセンスを確認してください。

タイ ミング

ハードウェアに IP を実装する際は、 Vivado ツールを使用してタイ ミ ングが確実に満たされるよ うにします。

ト ランシーバーに関するチェ ック

• txn/txp および rxn/rxp ラインの極性が反転していないこ とを確認します。 反転している場合は、 ト ランシーバーの TXPOLARITY ポートおよび RXPOLARITY ポート を使用して修正できます。

• ト ランシーバーがリセッ ト状態でないこ と、 または初期化の途中でないこ とを確認します。

ト ランシーバーの準備が整う と、 ト ランシーバーの RESETDONE 出力がアサート されます。

• ト ランシーバーをパラレルまたはシ リ アルの近端ループバッ ク モードに設定します。

• ト ランシーバーのシ リ アル ループバッ クで正しい動作が確認できても、光ケーブルを介してループバッ クが実行される と きには正しい動作が確認できない場合には、 光モジュールの不良が考えられます。

• ト ランシーバーのパラレル ループバッ クではコアの正しい動作が確認できても、 シ リ アル ループバッ クでは確認できない場合は、 ト ランシーバーの問題が考えられます。

• 軽度のビッ ト エラー率の場合は、ト ランス ミ ッ ターのプリエンファシスやト ランシーバーの差動振幅制御属性を調整するこ とで解消できる場合があ り ます。

Ethernet に関するチェ ック

通常、 10G/25G Ethernet の 初のハードウェア テス トでは、 問題が多く生じる可能性があ り ます。 次の手順に従ってチェッ ク して ください。

ハード ウェアに実装されている 10G/25G Ethernet は、すでにすべてのシ ミ ュレーシ ョ ン テス トに合格しているこ とが前提となり ます。 これは、 あらゆる種類のハードウェア デバッグを行う上での前提条件です。

通常のデバッグ シーケンスは、 次のとおりです。

1. シグナル インテグ リティを確保します。

2. SerDes がクロ ッ ク データ リ カバリ (CDR) のロ ッ クを達成しているこ とを確認します。

10G/25G 高速 Ethernet v1.3 153PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 B : デバッグ

3. 10/25G IP がワードシンク しているこ とを確認します。

4. 「プロ ト コル インターフェイスのデバッグ」 へ進みます。

シグナル インテグリテ ィ

初めてボードに電源を投入し、 10/25G Ethernet がワードシンク していない場合に考えらえる も可能性の高い原因はシグナル インテグ リ ティです。 シグナル インテグ リ ティの問題は、 ほかのデバッグを行う前に解決しておく必要があ り、

10G/25G Ethernet とは別にデバッグする必要があ り ます。 次の手順に従ってください。 (PCB は、 IEEE 802.3 で定義されているスキュー要件などを含む、必要な ト レース インピーダンスと ト レース長に従って設計および製造されているこ とが前提と されます。 )

• ト ランシーバーの設定

• ノ イズのチェッ ク

• ビッ ト エラー率のテス ト

ト ランシーバーやシグナル インテグ リ ティのデバッグでサポートが必要な場合は、 ザイ リ ンクス テクニカルへお問い合わせください。

N/P スワップ

差動ペアの正と負の信号が逆になっている場合、 そのレーンではデータを正し く受信できません。 リ ンクの各差動ペアの極性が正しいこ とを確認する必要があ り ます。

クロッキングと リセッ ト

これらの要件については、 第 3 章の 「リセッ ト 」 の 「ク ロ ッキング」 を参照して ください。

10G/25G Ethernet のクロ ッ ク周波数とザイ リ ンクス ト ランシーバーの基準クロ ッ クの周波数は、サブシステム コアを設定したと きのコンフ ィギュレーシ ョ ンと同じになる必要があ り ます。 コアのクロ ッ クは、 それら と関連する 小周波数が供給されます。コアの 大クロ ッ ク周波数は、タイ ミ ング制約によって決定されます。 小クロ ッ ク周波数は、必要な Ethernet 帯域幅にクロ ッ ク耐性用に確保されたマージンを加えて算出されます。

デバッグで一番 初に行う こ とは、 ク ロ ッ クが安定するまでリセッ ト信号がアサート されているかを確認するこ とです。 10G/25G Ethernet がリセッ トから解放される前に、周波数が安定し、 グ リ ッチのない状態である必要があ り ます。この要件は、 SerDes と コア両方のクロ ッ クに適用されます。

その後、 ク ロ ッ クの不安定状態が検出される と、 10G/25G Ethernet はリセッ トする必要があ り ます。 不安定状態の例には、 CDR ロ ッ クの損失があ り ます。 ユーザー ロジッ クは、 リセッ トが必要となるあらゆる外部条件 (ク ロ ッ ク グリ ッチ、 CDR ロ ッ クの損失、 電源グ リ ッチなど) を判断しなければなり ません。

コンフ ィギュレーシ ョ ンの変更には、 サブシステムのリセッ トが必要です。 このよ うなの変更には、 大パケッ ト長の変更などが含まれます。変更しよ う とするパラ メーターにこの要件が適用されるかど うかは、ポート リ ス トで該当する信号の説明を参照して判断してください。

RX デバッグ

RX のデバッグに利用できる診断信号については、 ポートの一覧セクシ ョ ンを参照して ください。

stat_rx_block_lock

この信号は、レシーバーが 01 または 10 の制御またはデータ ヘッダーで定義されたワードの境界を検出し、ロ ッ ク したこ とを示します。 これは、 10/25G Ethernet IP が正常に機能しているこ とを確認するための 初の手順です。

10G/25G 高速 Ethernet v1.3 154PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 B : デバッグ

注意 : 信号入力がない特定状況では、 SerDes レシーバーに 1010101.... と交互に配列されたパターンが現れます。 この場合、 不正なブロ ッ ク ロ ッ クが生じるにも関わらず、 レシーバーではパターンを検出したこ とを示します。

stat_rx_bad_fcs

不良 FCS は、 受信パケッ トに 1 ビッ ト エラーがあるこ とを示します。 ラ イン上のノ イズなどのパケッ ト破損の原因が生じる と FCS エラーが生じます。

stat_rx_local_fault

ローカル エラーの通知信号は、 ロ -カルで生成および受信されます。 ローカル エラーの原因には次が考えられます。

• ブロ ッ ク ロ ッ クが完了していない

• ビッ ト エラー率が高い

• オーバーフロー /アンダーフロー

ループバック  チェ ック

802.3 に従って イーサネッ ト パケッ トが正し く送信されている場合、 RX エラーが生じるこ とはあ り ませんが、 初に、 受信した信号のシグナル インテグ リティを検証する必要があ り ます。

デバッグ サポート と して、 ctl_local_loopback 信号を使用してローカル ループバッ クを実行します。 これで、TX SerDes と RX SerDes を接続し、シグナル インテグ リティ問題を引き起こす可能性のあるブロ ッ クを事実上バイパスします。 ト ランシーバーは PMA ループバッ ク ステートにな り ます。 このステートの説明は、 該当する ト ランシーバーの製品ガイ ドを参照してください。 このよ うにして、送信したパケッ トに対して受信データをチェッ クできるため、 ロジッ クが正し く動作しているかを検証できます。

プロ ト コル インターフェイスのデバッグ10G/25G Ethernet でエラーのないデータ転送を実現するには、 802.3 仕様に準拠する必要があ り ます。プロ ト コルのデバッグを進める前に、 必ずシグナル インテグ リティが確保されているこ とを確認して ください。

診断信号

プロ ト コル違反のチェッ クに有効なエラー インは多数あ り ます。特定のデバッグ問題に有効であるかは、各信号の説明を読んで判断してください。

推奨されるデバッグ シーケンスは次のとおりです。

1. ワードシンク しているこ とを確認します。

2. デスク ランブラー ステート エラーがないこ とを確認します。

3. CRC32 エラーがある場合は、 これを除去します。

4. プロ ト コルに正し く準拠しているこ とを確認します。

5. パケッ ト送信時に、 オーバーフロー /アンダーフローが生じていないこ とを確認します。

統計カウンター

エラーのない通信が確立された後、統計インジケーターをモニターして、 ト ラフ ィ ッ ク特性が想定どおり となっているこ とを確認できます。 これらの信号の一部は単なるス ト ローブ信号で、統計カウンターはサブシステムの一部に含

10G/25G 高速 Ethernet v1.3 155PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 B : デバッグ

まれないこ とに注意してください。 これは、 カウンター サイズをカスタマイズ可能にするためです。 カウンターは、オプシ ョ ンで AXI インターフェイスにも利用できます。

10G/25G 高速 Ethernet v1.3 156PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 C :snその他のリソースおよび法的通知

付録 C

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

参考資料

次の資料は、 この製品ガイ ドの補足資料と して役立ちます。

注記 :日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. 25G/50G Ethernet Consortium Schedule 3 version 1.4 (2014 年 8 月 28 日) (http://25gethernet.org/)

2. IEEE Standard 802.3-2012 (standards.ieee.org/findstds/standard/802.3-2012.html)

3. IEEE P802.3by/D01 (ieee802.org/3/by/)

4. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994 : 英語版、日本語版)

5. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896 : 英語版、 日本語版)

6. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910 : 英語版、 日本語版)

7. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900 : 英語版、 日本語版)

8. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908 : 英語版、 日本語版)

9. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904 : 英語版、 日本語版)

10. 『Vivado Design Suite : AXI リ ファレンス ガイ ド』 (UG1037 : 英語版、 日本語版)

11. IEEE Standard 1588-2008 ― 「IEEE Standard for a Precision Clock Synchronization Protocol for Networked Measurement and Control Systems」 (standards.ieee.org/findstds/standard/1588-2008.html)

12. 『25G IEEE 802.3by Reed-Solomon Forward Error Correction LogiCORE IP 製品ガイ ド』 (PG217) - アクセスには登録が必要

10G/25G 高速 Ethernet v1.3 157PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 C :snその他のリソースおよび法的通知

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2016 年 6 月 8 日 1.3

• スループッ ト を 10Gb/s から 10.3125Gb/s へ変更。

• 図 (2-2、 3-16、 4-1、 4-2、 4-3、 4-4、 5-1、 5-2、 5-3、 5-4、 5-5) を変更。

• XVGMII のスループッ トに XGMII を追加。

• XXVMII を XVGMII のスループッ トに変更。

• アドレス指定に関する注記を追加 (MAC+PCS スループッ ト をサポート )。

• 表 2-24 に 16 進数アドレス と リ ンクを追加。

• 表 2-25、 2-28、 2-86 にビッ ト を追加。

• STAT_TX_RSFEC_STATUS_REG の新しいレジスタ テーブルを追加。044C, STAT_RX_ERROR_LSB : 0668, STAT_RX_ERROR_MSB : 066C, STAT_RX_RSFEC_ERR_COUNT0_INC_LSB : 0680, STAT_RX_RSFEC_ERR_COUNT0_INC_MSB : 0684

• 第 3 章の 「一般的なデザイン ガイ ド ライン」 セクシ ョ ンを削除。

• 表 3-1 に tx_ptp_rxtstamp_in を追加。

• HSEC を 10G/25G Ethernet Subsystem のスループッ トに変更。

• 表 4-1 に 「制御および統計情報インターフェイス」 セクシ ョ ンを追加。

• 表 4-3 に 「GT の位置」 セクシ ョ ンを追加し、 その他のセクシ ョ ンのオプシ ョ ンを変更。

• 表 4-3 の 「GT ク ロ ッ ク オプシ ョ ン」 の説明を変更。

• 第 5 章の 「サンプル デザイン」 の概要を変更。

• オプシ ョ ンのモジュールに関する説明を変更。

• 第 5 章に 「サンプル デザインの階層 (GT はサンプル デザイン内に含まれる )」、 「Runtime Switchable Mode」、 および 「IEEE Clause 108 (RS-FEC) の統合」 セクシ ョ ンを追加。

• 第 5 章の 「共有ロジッ クのインプリ メンテーショ ン」 セクシ ョ ンを変更。

• 共有ロジッ ク ラ ッパーに含まれないモジュールの説明を追加。

• 付録 B 「デバッグ」 の 「シ ミ ュレーシ ョ ン デバッグ」 セクシ ョ ンを変更。

10G/25G 高速 Ethernet v1.3 158PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 C :snその他のリソースおよび法的通知

.

お読みください : 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれ

らに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または

貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わな

い (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害に

は、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の

損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能で

あったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に

含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いま

せん。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一

定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助的条件

に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケー

シ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を

使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。

2016 年 4 月 6 日 1.2 • UltraScale+ のサポート を追加。

• RSFEC、 1588 1 ステップおよび 2 ステップのサポート を含む新しいセクシ ョ ンを追加。

• 「IEEE 1588 タイムスタンプ機能」 セクシ ョ ンを追加。

• 両方の AXI4-Stream インターフェイスに rx_preambleout [55:0] を追加。

• AXI4-Stream インターフェイスに tx_preamblein [55:0] を追加。

• コンフ ィギュレーシ ョ ン、 ステータス、 およびカウンター レジスタ マップにレジスタを追加。

• カスタム プリ アンブルを in-band から out-of-band に変更。

• 「統計カウンター」 セクシ ョ ンに pm_tick および TIC_REG に関する説明を追加。

• tx_axis_tuser および rx_axis_tuser 信号の極性を変更。

• 表 3-13 および表 3-14 を変更。

• 表 4-2 から VLane Adjust Mode を削除。

• LBUS に関する文章を削除。

• 表 C-4 に ctl_tx_ipg_value[3:0] を追加。

2015 年 12 月 2 日 1.1 • 性能およびリ ソース使用量に関するデータ リ ンクを変更。

2015 年 11 月 18 日 1.1 • 性能およびリ ソース使用量に関するウェブ上のリ ンクを追加。

• stat_rx_valid_ctrl_code、 ctl_tx_custom_preamble_enable、 および ctl_rx_custom_preamble_enable 信号を追加。

• tx_axis_ tuser 信号の説明を変更。

• 「送信 AXI4-Stream インターフェイス」 セクシ ョ ンの通常の送信および転送の中断に関する説明を変更。

• 第 4 章 「デザイン フローの手順」 に Vivado IDE オプシ ョ ンの説明を追加。

• 「サンプル デザイン」 の章に新規説明を追加。

2015 年 9 月 30 日 1.0 初版

日付 バージョ ン 内容

10G/25G 高速 Ethernet v1.3 159PG210 2016 年 6 月 8 日 japan.xilinx.com

付録 C :snその他のリソースおよび法的通知

© Copyright 2015-2016 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれ

の保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。