9
Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Grundlagen der Technischen Informatik Rechnertechnologieentwicklung Rechnertechnologieentwicklung Kapitel 1.2 Kapitel 1.2 Prof. Dr. Prof. Dr.- Ing. Jürgen Teich Ing. Jürgen Teich Lehrstuhl für Hardware Lehrstuhl für Hardware- Software Software- Co Co- Design Design Grundlagen der Technischen Informatik 2 Der erste Computer Babbages Differenzenrechenmaschine (1832) 25.000 Teile Preis: £17,470 Historie Historie Grundlagen der Technischen Informatik 3 Historie Historie Konrad Zuses Z1 (1936-1938) Vollständig mechanischer Aufbau Erster programmgesteuerter, frei programmierbarer Rechenautomat Dualsystem Gleitpunktrechenwerk Grundlagen der Technischen Informatik 4 Historie Historie Konrad Zuses Z3 (1941) 600 Relais Rechenwerk 1600 Relais im Speicherwerk 22 Bit Wortlänge, Floating Point 64 Worte Speicherkapazität 5 - 10Hz Leistungsverbrauch: 4000W Gewicht: 1000 kg Z3 ist erster programmierbarer elektomechanischer Automat

Grundlagen der Technischen Informatik ...ce.u-sys.org/Veranstaltungen/Grundlagen der Technischen Informatik/Skript/03_2x2.pdf · Intel 80286 32Bit Adresse Intel 80486 Beginn des Mikroelektronik

Embed Size (px)

Citation preview

Page 1: Grundlagen der Technischen Informatik ...ce.u-sys.org/Veranstaltungen/Grundlagen der Technischen Informatik/Skript/03_2x2.pdf · Intel 80286 32Bit Adresse Intel 80486 Beginn des Mikroelektronik

Grundlagen der Technischen Informatik

Grundlagen der Technischen InformatikGrundlagen der Technischen Informatik

RechnertechnologieentwicklungRechnertechnologieentwicklung

Kapitel 1.2Kapitel 1.2

Prof. Dr.Prof. Dr.--Ing. Jürgen TeichIng. Jürgen TeichLehrstuhl für HardwareLehrstuhl für Hardware--SoftwareSoftware--CoCo--DesignDesign

Grundlagen der Technischen Informatik 2

Der erste Computer

BabbagesDifferenzenrechenmaschine(1832)25.000 TeilePreis: £17,470

HistorieHistorie

Grundlagen der Technischen Informatik 3

HistorieHistorie

Konrad Zuses Z1 (1936-1938)

– Vollständig mechanischer Aufbau

– Erster programmgesteuerter, frei programmierbarer Rechenautomat

– Dualsystem

– Gleitpunktrechenwerk

Grundlagen der Technischen Informatik 4

HistorieHistorie

Konrad Zuses Z3 (1941)

– 600 Relais Rechenwerk

– 1600 Relais im Speicherwerk

– 22 Bit Wortlänge, Floating Point

– 64 Worte Speicherkapazität

– 5 - 10Hz

– Leistungsverbrauch: 4000W

– Gewicht: 1000 kg

– Z3 ist erster programmierbarer elektomechanischer Automat

Page 2: Grundlagen der Technischen Informatik ...ce.u-sys.org/Veranstaltungen/Grundlagen der Technischen Informatik/Skript/03_2x2.pdf · Intel 80286 32Bit Adresse Intel 80486 Beginn des Mikroelektronik

Grundlagen der Technischen Informatik 5

ENIAC – Der erste elektronische Rechner (1946)

HistorieHistorie

Grundlagen der Technischen Informatik 6

BeginnBeginn des des MikroelektronikMikroelektronikzeitalterszeitalters

Die Erfindung desDie Erfindung des

BipolartransistorsBipolartransistors 19471947

durch John durch John BardeenBardeen

(links), Walter (links), Walter BrattainBrattain

(rechts) and William(rechts) and William

ShockleyShockley (in der Mitte) war(in der Mitte) war

ein bedeutender Schritt, ein bedeutender Schritt,

der 1956 mit demder 1956 mit dem

Nobelpreis gewürdigt wurde.Nobelpreis gewürdigt wurde.

HistorieHistorie

Grundlagen der Technischen Informatik 7

HistorieHistorie

Meilensteine– Der Bipolartransistor kann 1951 industriell

gefertigt werden– Das erste Transistorradio kommt 1954 auf

den Markt– Texas Instruments (TI) fertigt den ersten

Siliziumtransistor (Preis: $ 250,-)– 1958 wird von Kilby bei TI und von Noyce

und Moore bei Fairchild Semiconductor der Integrierte Schaltkreis (IC) nahezu simultan erfunden-> Metall-Oxyd-Semiconductor

Feld-Effekt Transistor (MOSFET)-> Heute: CMOS (Complementary MOS)

BeginnBeginn des des MikroelektronikMikroelektronikzeitalterszeitalters ……

Grundlagen der Technischen Informatik 8

TI and Fairchild führten 1961 die ersten Logik-ICs auf demMarkt ein (Kosten: ~$ 50,- fürGroßabnehmer). Es war eindoppeltes Flipflop.

Die Dichte und Ausbeute derSchaltkreise verbessert sich. Dieser Schaltkreis von 1963 hat 4 Flipflops.

HistorieHistorie

Page 3: Grundlagen der Technischen Informatik ...ce.u-sys.org/Veranstaltungen/Grundlagen der Technischen Informatik/Skript/03_2x2.pdf · Intel 80286 32Bit Adresse Intel 80486 Beginn des Mikroelektronik

Grundlagen der Technischen Informatik 9

– 1967 verkauft Fairchild den sogenannten „Semicustom-IC“. Transistoren sind als Spalten angeordnet und lassen sich durch 2 Metallebenen verdrahten. Dieser IC besteht aus ca. 150 logischen Gattern.

– 1968 verlassen Noyce und Moore Fairchild und gründen Intel.

HistorieHistorie

BeginnBeginn des des MikroelektronikMikroelektronikzeitalterszeitalters

Grundlagen der Technischen Informatik 10

– 1970 beginnt Intel mit dem Verkauf von 1kbit RAM-ICs.

– 1971 führt Intel den ersten Mikroprozessor ein, den 4004. Er hatte einen 4-bit Bus und eine Taktfrequenz von 108 kHz. Er bestand aus 2300 Transistoren.

HistorieHistorie

BeginnBeginn des des MikroelektronikMikroelektronikzeitalterszeitalters

Grundlagen der Technischen Informatik 11

Intel 8080Intel 8080

Intel 8085Intel 8085

BeginnBeginn des des MikroelektronikMikroelektronikzeitalterszeitalters

HistorieHistorie

Intel 8080 (`75)

– 4500 Transistoren– 6 Microns– 2 - 3,5MHz– 8Bit Daten / 16Bit Adresse

Intel 8085 (`76)

– 6200 Transistoren– 6 Microns– 3 - 6MHz– 8Bit Daten / 16Bit Adresse

Grundlagen der Technischen Informatik 12

Intel 80486 (`89)

– 1,2 Mio. Transistoren– 0.6 Microns– 20 – 100MHz– 16/32Bit Daten– 32Bit Adresse

Intel 80286Intel 80286

Intel 80486Intel 80486

BeginnBeginn des des MikroelektronikMikroelektronikzeitalterszeitalters

HistorieHistorie

Intel 80286 (`82)

– 134.000 Transistoren– 1,4 Microns– 6 - 20MHz– 16Bit Daten / 24Bit Adresse

Page 4: Grundlagen der Technischen Informatik ...ce.u-sys.org/Veranstaltungen/Grundlagen der Technischen Informatik/Skript/03_2x2.pdf · Intel 80286 32Bit Adresse Intel 80486 Beginn des Mikroelektronik

Grundlagen der Technischen Informatik 13

Intel Pentium Processor

Jetzt werden GigabitSpeicherchips und CPUswie die hier abgebildete mitmehreren MillionenTransistoren produziert.

Intel Pentium– 3.3 Mio. Transistoren– 3.3V BiCMOS Silicon

Technology– 32Bit CPU– 60 - 230MHz

MikroelektronikMikroelektronik heuteheute ……

HistorieHistorie

Grundlagen der Technischen Informatik 14

HistorieHistorie

EinigeEinige heutigeheutige ProzessorenProzessoren ……

Intel Pentium Processor

Pentium Pro Layout Motorola M68355 MicrocontrollerIBM Power PC 750

TI DSP 8847

Grundlagen der Technischen Informatik 15

HistorischeHistorische EntwicklungEntwicklung (1)(1)

– China ca. 110 v. Chr. Abakus

– Wilhelm Schickard 1623 Erstes mechanisches RechenwerkDeutschland

– Blaise Pascal 1642 Entwicklung einer RechenmaschineFrankreich

– Gottfried Wilhelm Leibniz 1673 Einführung des dualenZahlensystems, Deutschlandbinäres Rechnen und rechnen mitBedingungen, Entwicklung einerRechenmaschine

Grundlagen der Technischen Informatik 16

HistorischeHistorische EntwicklungEntwicklung (1)(1)

– Charles Babbage 1833 Erste programmierbareEngland Rechenmaschine mit Rechenwerk,

Programmwerk, Speicherwerk, Steuerung mit Lochkarten; hat wegenmechanischer Probl. nie funktioniert

– Hermann Hollerith 1890 Einführung der Lochkarte zurDeutschland/USA Auswertung der amerikanischen

Volkszählung von 1890; aus seinerdamals gegründeten Firma ging IBMhervor

Page 5: Grundlagen der Technischen Informatik ...ce.u-sys.org/Veranstaltungen/Grundlagen der Technischen Informatik/Skript/03_2x2.pdf · Intel 80286 32Bit Adresse Intel 80486 Beginn des Mikroelektronik

Grundlagen der Technischen Informatik 17

HistorischeHistorische EntwicklungEntwicklung (2)(2)

– Konrad Zuse 1941 Entwicklung programmgesteuerter

Deutschland Rechenmaschinen; erste funktions-

fähige Anlage Z3 1941; Programm

auf gelochtem Kinofilmstreifen, Ver-

wendung bistabiler Schaltelemente

und dualer Zahlen sowie logischer

Verknüpfungen, Gleitkommadar-

stellung der Zahlen; viele theoretische

Arbeiten

Grundlagen der Technischen Informatik 18

HistorischeHistorische EntwicklungEntwicklung (2)(2)

– Howard Aiken 1944 MARK I, RelaisrechnerUSA

– John von Neumann 1945 ENIAC, Röhrenrechner, dekadischer

USA Rechner mit 10 FF pro Stelle,

Leistung vergleichbar mit kleiner 8-

Bit-CPU; Addition: 200µs(5kFlops),

Multiplikation: 2,8 ms, Kosten

mehrere Mio. DM, 18000 Roehren,

150 kW leistung, durch ständige

Reparaturen nur die Hälfte der Zeit

nutzbar

Grundlagen der Technischen Informatik 19

HistorischeHistorische EntwicklungEntwicklung (3)(3)

– Brattain, Shockley 1947 Entwicklung des Transistors

Bardeen, USA

– Apple 1976 Der Apple I läutet das Zeitalter der

Massencomputer ein

– IBM 1978 IBM setzt mit seinem PC mit Intel

8086-Prozessor und Microsoft DOS

einen Industriestandard

Grundlagen der Technischen Informatik 20

HistorischeHistorische EntwicklungEntwicklung (3)(3)

– Apple 1984 Der Macintosh erscheint mit seinem

revolutionären MacDOS auf Basis

des Motorola 68000:

- graphische Benutzeroberfläche

- Maus

- Fenstertechnik

- Plug & Play

Page 6: Grundlagen der Technischen Informatik ...ce.u-sys.org/Veranstaltungen/Grundlagen der Technischen Informatik/Skript/03_2x2.pdf · Intel 80286 32Bit Adresse Intel 80486 Beginn des Mikroelektronik

Grundlagen der Technischen Informatik 21

Geschichte Geschichte derder HalbleiterHalbleiter-- und und ProzessortechnologieProzessortechnologie

– 1955 Einsatz von Transistoren in Rechnern

– 1962 Erste ICs der TTL-Familie

– 1965 Erste Bauteile in MOS-Technik

– 1970 Erste Bauteile in CMOS-Technik

– 1970 Entwicklung des 4004-Prozessors durch Intel (4-Bit)

– 1972 8008-Prozessor (8-Bit)

– 1974 8080-Prozessor (8-Bit)Urvater der Intel-Linie 80xxx und Pentium64KBytes Adressraum, 2µs Zykluszeit (500 kHz)

Grundlagen der Technischen Informatik 22

Geschichte Geschichte derder HalbleiterHalbleiter-- und und ProzessortechnologieProzessortechnologie

– 1975 6800-Prozessor (8-Bit) von Motorola

– 1978 8086-Prozessor (16-Bit)

– 1979 68000-Prozessor

– 1982 80286-Prozessor

– 1985 80386-Prozessor (32-Bit)

– 1989 80486-Prozessor (32-Bit) mit integriertem math. Coprozessor

– 1992 Pentium-Prozessor

– 1995 Pentium II-Prozessor

– 1998 Pentium III-Prozessor

– 2000 Pentium IV-Prozessor

Grundlagen der Technischen Informatik 23

HistorieHistorie

Moore’s Law

– 1965 sagte Gordon E. Moore das exponentielle Wachstum der Halbleitertechnologie vorher.

– Er spekulierte, daß es 1975 möglich sei, 65.000 Komponenten auf einem 6mm² großen IC zu integrieren - er hatte Recht!

Grundlagen der Technischen Informatik 24

HistorieHistorie

Moore’s Law

– Seine Vorhersage beruhte auf 3 Datenpunkten:• Produktion des ersten planaren Transistors 1959• ICs von 1964 mit 32 Transistoren• Ein IC, der noch im Entwicklungsstadium war und 1965 mit 64

Transistoren auf den Markt gebracht werden sollte.

– „Manufacturers had been doubling the density of components per integrated circuit at regular intervals, and they would continue to do so as far as the eye could see.”

– Moore’s Law: Integrationsdichte verdoppelt sich alle 18 Monate

Page 7: Grundlagen der Technischen Informatik ...ce.u-sys.org/Veranstaltungen/Grundlagen der Technischen Informatik/Skript/03_2x2.pdf · Intel 80286 32Bit Adresse Intel 80486 Beginn des Mikroelektronik

Grundlagen der Technischen Informatik 25

HistorieHistorie

Integrationsdichte

– Die Komplexität von Speicherchips und Mikroprozessoren wuchs exponentiell.

– Es war genau diese Abbildung, die Moore vorhersagte.

Grundlagen der Technischen Informatik 26

HistorieHistorie

Transistordichte

– Ähnlich stieg die Anzahl der auf einem Chip zu integrierenden Transistoren. (Mehr als ein Faktor von 10.000 seit 1970)

– Moore‘s Law gilt auch für die Transistordichte von Mikroprozessoren.

Grundlagen der Technischen Informatik 27

KomplexitätKomplexität digitalerdigitaler KommunikationsverfahrenKommunikationsverfahren

Quelle: Jan Rabaey, UC Berkeley, USA

Moore´s Gesetz für Mikroprozessoren

Batteriekapazität

Prozessor Performanz(Moore’s Gesetz)

Algorithmen Komplexität(Shannon’s Gesetz)

Batteriekapazität

Prozessor Performanz(Moore’s Gesetz)

Algorithmen Komplexität(Shannon’s Gesetz)

2002: UMTS als Massenprodukt ?Messe: UMTS 2000/BarcelonaExperimentell 1,2 Mbit/s

Siemens Anwendungsstudie

System-on-Chip

Grundlagen der Technischen Informatik 28

EntwurfsverfahrenEntwurfsverfahren

Status der Mikroelektroniktechnologie

VLSI-Entwurf am Scheideweg?0.02 0.05 0.1 0.5 1

0.1

0.2

0.5

1

2

5

10

1

2

5

10

20

50

tOX

Vt

Vdd

Oxy

ddic

ke t

OX

(nm

)

Schw

ellw

erts

pann

ung

Vtun

d Ve

rsor

gung

sspa

nnun

g V d

d

MOSFET Kanallänge (µm)

CMOS Kanallänge 0.1 µm

0.9-1.2 V

Chip-Grösse 750 mm 2

Transistorsen/cm2 100 M

17.2 G

Zuk. VLSI Chips / SoCs 20112005

Vers.-Spannung (Vdd)

0.05 µm

0.5-0.6 V

520 mm 2

40 M

275 GDRAM bits /chip

Anzahl Verdraht.-Ebenen 7 - 8 9

(Quelle: International Technology Roadmap for Semiconductors)

Schnelle Evolution der Schnelle Evolution der ICIC--TechnologienTechnologien

Page 8: Grundlagen der Technischen Informatik ...ce.u-sys.org/Veranstaltungen/Grundlagen der Technischen Informatik/Skript/03_2x2.pdf · Intel 80286 32Bit Adresse Intel 80486 Beginn des Mikroelektronik

Grundlagen der Technischen Informatik 29

EntwurfsverfahrenEntwurfsverfahren

Kriterien• Kosten• Verlustleistung• Performanz• Flexibilität (Risikominimierung -> Time-to-Market)

SystemSystem--onon--Chip (Chip (SoCSoC))

Physikalische Komponenten

ASIC / Physikalischer Entwurf

System-on-Chip

IP oderfunktionaler Block

Eigenschaften• anwendungsspezifisch• reaktiv• echtzeitfähig

Grundlagen der Technischen Informatik 30

EntwurfsverfahrenEntwurfsverfahren

Moore´s Gesetz:21% Produktivitätssteigerung /Jahr vs.

58% Komplexitätszuwachs/Jahr

Technologie

Validierungstechniken

Entwurfs-methoden

Produktivitäts-krise

1988 1992 1996 2000

Produktivität

Zeit

Gatter

RTL

IP-Blöcke

0,18 µm

HW/SWCodesign

(HLS)

0,6 µm

0,13 µm

0,5 µm

0,8 µm

0,35 µm

• 50-70% der Entwicklungszeit für Simulation

• 75% Validierung / Verifikation

Heute: Entwurf auf RT-Ebene(Register-Transfer)

Neue und effiziente CAD-Methoden zur Entwurfsraum-Exploration und -Validierung

• Rapid-System Prototyping• HW/SW Co-Verifikation• HW/SW Co-Entwurf• IP-basierte Entwurfsmethoden

Grundlagen der Technischen Informatik 31

EntwurfsverfahrenEntwurfsverfahren

architecture structural of first_tap is

signal x_q,red : std_logic_vector(bitwidth-1 downto 0);signal mult : std_logic_vector(2*bitwidth-1 downto 0);

begin

delay_register:process(reset,clk)beginif reset='1' then

x_q <= (others => '0');elsif (clk'event and clk='1') then

x_q <= x_in;end if;

end process;

mult <= signed(coef)*signed(x_q);

Hardware-Beschreibung

Synthese(Synopsys)

Netzliste

Masken-Layout

Platzierung &Verdrahtung

(Cadence/Mentor)

Fabrikation

Chip Wafer

Abstraktionsebenen

Grundlagen der Technischen Informatik 32

EntwurfsverfahrenEntwurfsverfahren

Was automatisierter Entwurf auch sein kann ...

Computer Aided Design

Page 9: Grundlagen der Technischen Informatik ...ce.u-sys.org/Veranstaltungen/Grundlagen der Technischen Informatik/Skript/03_2x2.pdf · Intel 80286 32Bit Adresse Intel 80486 Beginn des Mikroelektronik

Grundlagen der Technischen Informatik 33

EntwurfsverfahrenEntwurfsverfahren

Formale SpezifikationHW/SW Spec (SystemC, C, VHDL)

Digitale HardwaresynthesePlatzierung & Verdrahtung

IP-basierter Entwurf, Reuse & Integration:Anwendungsspezifische EDA-Methoden

InkrementelleVerfeinerung

Bsp.: Bluetooth System-on-Chip (SoC)(Eynde et al., Alcatel,

ISSCC 2001)

Kriterien für System-on-Chip Lösungen:

- Kleine Chipfläche- Verlustleistung- Entwurfszeit- Flexible Hardware- geringe Kosten- Risikominimierung

Grundlagen der Technischen Informatik 34

SystemSystem--onon--ChipChip

Standardzellensynthese:

Synopsys: Netzlisten Cadence: Platzierung & Verdrahtung

• via Europractice ontoUMC 0.13/0.18 µm Technologien

• Leon Mikroprozessor • on-chip XPP Architektur• effiziente on-Chip-Interfaces +

Speichertopologien

ASIC

Program

ROM

LeonµC

RAMGlobalSoC-RAM

Reconfigurable Hardware

Local XPP-RAM

Amba-Bus

FIFO-Bridge

Configurable SoC XPP processing arrayLeon Sparc Core Layout

Integer UnitCachecontroller

Regfile

I-CacheD-Cache

Integer UnitCachecontroller

Regfile

I-CacheD-Cache

ALU PAE Layout

Grundlagen der Technischen Informatik 35

SystemSystem--onon--ChipChipXPP processing array

ALU PAE: rekonfigurierbaresProzessorelement

CFG

PAEcore

ALU CtrlALU CFG

CFG

PAEcore

CFG

CFG

PAEcorePAEcore

ALU CtrlALUALU CtrlALU CFG

CFG

CFG

CFG

Anwendungen: Daten-/Video-Kompression (MPEG), Mobilkommunikation (Multistandard)Global Positioning System (GPS),Wireless Local Area Networks (WLAN)

Grundlagen der Technischen Informatik 36

EntwurfsverfahrenEntwurfsverfahren

1970 1990 20101980 2000 2020

10 mµ

1 mµ

100nm

10nm

1nm

1A

4K16K

64K256K

1M4M

16M64M

256M

1G 4G 16G64G

256G

novel devices

quantum devices

DRAMproduction

conventionalMOSFETs

wavelength of electron

molecules

atoms

Courtesy Thomas Schulz @ Siemens AG

Ausblick

– Elektronik ist die treibende Kraft im 20. Jahrhundert.

– Langsam werden physikalische Grenzen bei der Miniaturisierung erreicht.

– Wie geht‘s weiter?