4
概要 Innovus Implementation System は、先端プロセスを含む すべてのプロセスノードのデザイン向けフィジカル・インプ リメンテーション・ツールであり、平均して PPA 10%20%を改善し、フロー全体で実行速度を 5 倍から 10 倍高 速化を実現します。また、業界初の大規模分散並列処理機能 を持ち、Innovus Implementation System は、500 万から 1000 万インスタンス以上を持つ超大規模ブロックを効率的 に処理する大容量を誇ります。 また、 Innovus Implementation System は、配置、最適化、 配線、クロッキングの新しい機能を提供します。アップスト リームステップとダウンストリームステップから構成される 独自のアーキテクチャーにより、デザインフローにおける イタレーションを最小限にとどめ、実行速度の高速化を実現 します。Innovus Implementation System を使用すること により、統合され差別化されたシステムを少ないリスクで 構築できるようになります。 特長と利点 大規模回路に対応可能な大規模分散並列処理アーキテ クチャーにより、マルチコア・ワークステーションに おけるマルチスレッディング、さらにネットワーク・ コンピューターにおけるディストリビューテッド・ プロセッシングに対応 GigaPlace はソルバーベースの新しい配置テクノロジ ーで、スラックを考慮した配置、20nm 以降の先端プ ロセスにおける DPT 等のカラーを考慮した配置、最適 なパイプライン配置、配線トポロジーを考慮したピン アクセス等の機能を持ち、ベストな配線長、集積度、 PPA を実現します。 配線レイヤーを考慮した最先端のタイミング、及び パワーの同時最適化機能により、動作時の消費電力と リーク電流を削減 独自のコンカレント・クロック&データパス最適化機 能により、クロスコーナーばらつきを改善、消費電力 を削減すると同時に最大パフォーマンスを実現 トラックを考慮したタイミングの最適化を特長とする 次世代のスラック・ドリブン配線により、設計早期よ りシグナル・インテグリティを考慮し、実配線後との 相関性を改善 電気的な最適化と物理的な最適化の並列実行に対応する フルフロー・マルチ・オブジェクティブテクノロジー 合成ツール、インプリメンテーションツール、サイン オフツールすべてにわたって共通のユーザー・インタ ーフェース(UI)を採用することにより、ロバストな 可視化およびレポート機能を備えたカスタマイズ可能 なフロー スラック・ドリブンの新しい配置手法 Innovus Implementation System は、新しい配置エンジ GigaPlace を搭載し、革新的な配置手法で PPA を向上さ せます。従来の配置はタイミング・ドリブンであり、タイミ ング解析や最適化などのインプリメンテーション・システム に内蔵されている別のエンジンに"簡易的に"統合されている ものでした。それに対して、GigaPlace はスラック・ドリブ ンで強固に統合されています。 Innovus Implementation System 先端ノードにおける PPA 向上および TAT 短縮の要求に対応 先端ノードにおいては、高いPPA(Power, Performance, and Area)目標と厳しいTAT要求の間に発生するコンフ リクトがより顕著に現れます。FinFETのような構造体を始め、新たな物理的、電気的設計課題が浮上し、その都度 検討しなければならない課題が出てきます。しかし、製品の市場優位性を維持するためには、PPATATのどちら かをとるといった妥協は許されません。Cadence ® Innovus™ Implementation Systemのさまざまな新機能は、 それらの妥協を一切排除することが可能です。

Innovus Implementation System - Cadence...Innovus Implementation System これらの機能によって、全体の配線前の最適化フロー実行時 にタイミング・クリティカル・ネットに対し、優先的なレイ

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Innovus Implementation System - Cadence...Innovus Implementation System これらの機能によって、全体の配線前の最適化フロー実行時 にタイミング・クリティカル・ネットに対し、優先的なレイ

概要

Innovus Implementation System は、先端プロセスを含む

すべてのプロセスノードのデザイン向けフィジカル・インプ

リメンテーション・ツールであり、平均して PPA を 10%か

ら 20%を改善し、フロー全体で実行速度を 5 倍から 10 倍高

速化を実現します。また、業界初の大規模分散並列処理機能

を持ち、Innovus Implementation System は、500 万から

1000 万インスタンス以上を持つ超大規模ブロックを効率的

に処理する大容量を誇ります。

また、Innovus Implementation System は、配置、最適化、

配線、クロッキングの新しい機能を提供します。アップスト

リームステップとダウンストリームステップから構成される

独自のアーキテクチャーにより、デザインフローにおける

イタレーションを最小限にとどめ、実行速度の高速化を実現

します。Innovus Implementation System を使用すること

により、統合され差別化されたシステムを少ないリスクで

構築できるようになります。

特長と利点 大規模回路に対応可能な大規模分散並列処理アーキテ

クチャーにより、マルチコア・ワークステーションに

おけるマルチスレッディング、さらにネットワーク・

コンピューターにおけるディストリビューテッド・

プロセッシングに対応

GigaPlace はソルバーベースの新しい配置テクノロジ

ーで、スラックを考慮した配置、20nm 以降の先端プ

ロセスにおける DPT 等のカラーを考慮した配置、最適

なパイプライン配置、配線トポロジーを考慮したピン

アクセス等の機能を持ち、ベストな配線長、集積度、

PPA を実現します。

配線レイヤーを考慮した最先端のタイミング、及び

パワーの同時最適化機能により、動作時の消費電力と

リーク電流を削減

独自のコンカレント・クロック&データパス最適化機

能により、クロスコーナーばらつきを改善、消費電力

を削減すると同時に最大パフォーマンスを実現

トラックを考慮したタイミングの最適化を特長とする

次世代のスラック・ドリブン配線により、設計早期よ

りシグナル・インテグリティを考慮し、実配線後との

相関性を改善

電気的な最適化と物理的な最適化の並列実行に対応する

フルフロー・マルチ・オブジェクティブテクノロジー

合成ツール、インプリメンテーションツール、サイン

オフツールすべてにわたって共通のユーザー・インタ

ーフェース(UI)を採用することにより、ロバストな

可視化およびレポート機能を備えたカスタマイズ可能

なフロー

スラック・ドリブンの新しい配置手法 Innovus Implementation System は、新しい配置エンジ

ン GigaPlace を搭載し、革新的な配置手法で PPA を向上さ

せます。従来の配置はタイミング・ドリブンであり、タイミ

ング解析や最適化などのインプリメンテーション・システム

に内蔵されている別のエンジンに"簡易的に"統合されている

ものでした。それに対して、GigaPlace はスラック・ドリブ

ンで強固に統合されています。

Innovus Implementation System 先端ノードにおける PPA 向上および TAT 短縮の要求に対応

先端ノードにおいては、高いPPA(Power, Performance, and Area)目標と厳しいTAT要求の間に発生するコンフ

リクトがより顕著に現れます。FinFETのような構造体を始め、新たな物理的、電気的設計課題が浮上し、その都度

検討しなければならない課題が出てきます。しかし、製品の市場優位性を維持するためには、PPAとTATのどちら

かをとるといった妥協は許されません。Cadence® Innovus™ Implementation Systemのさまざまな新機能は、

それらの妥協を一切排除することが可能です。

Page 2: Innovus Implementation System - Cadence...Innovus Implementation System これらの機能によって、全体の配線前の最適化フロー実行時 にタイミング・クリティカル・ネットに対し、優先的なレイ

Innovus Implementation System

www.cadence.com

このアプローチにより、GigaPlace は、パスのスラック量プ

ロファイルを構築し、これらタイミングのスラック量に基づ

いて配置の制御を行うことにより、タイミング・ドリブンモ

ードにおけるセル配置を最適化します。

また、GigaPlace は、フロアプラン、配線トポロジーを考慮

した配線長、集積度などの物理的な制約と電気的な制約を

正確にモデル化します。さらに、Innovus Implementation

System のもう一つの特長であるケイデンスのタイミング・

ドリブン、パワー・ドリブン最適化エンジンの数学的モデル

を備えています。この数学的モデルにより、電気的メトリク

スと物理的メトリクスを同時に最適化することが可能になり

ます。また、電気的制約から設計意図を自動的に抽出する機

能が搭載されていますので、よりよい物理的メトリクスの最

適化が実行可能です。

Innovus Implementation System は、極小の局所的な違反

をも回避するためにグローバルな最適化および新しい数値ソ

ルバーを搭載しています。これにより、設計フローにおいて

異なるステップ間のイタレーションを回避することができま

すので、ベストな PPA でより迅速なデザイン・クロージャー

が可能になります。

さらに GigaPlace は、ゲート遅延、フォルス・パス、マルチ

サイクル・パス、レイヤー・アサインメント、集積度、オー

バーラップと配線長の増大といったタイミングの影響に起因

するスラックも解決します。これにより、よりよい TNS(Total

Negative Slack)/WNS(Worst Negative Slack)、配線長、集

積度、拡散、消費電力を得ることができます。

GigaPlace engine:

MMMC スラック、スキュー、消費電力を考慮

配線トポロジー、レイヤー、カラー、ピンアクセスを

考慮

ゲートサイジング、バッファリングを考慮

ピンアクセスがデザインクロージャーの新しいメトリックに

なってきています。図1に示したように、GigaPlace はラウ

ターが対応可能なピンアクセスを保持するために、単にピン

密度を制御するのではなく、隣り合うインスタンスのピンア

クセス制約に従って自動的に間隔を空けてセルを配置します。

ツールのプロプライエタリ・アルゴリズムでは、ラウターが

どのようにして各ピンにアクセスするかをインスタンスベー

スで全体的にプラニングします。GigaPlace には、DRC ルー

ル等を配線前に考慮する、セル・スプレッディング・コスト

ファンクションを持っています。また最適化コスト・ファン

クションでは、水平方向と垂直方向のセル・スプレッディン

グを考慮し、配置最適化実行時に効力を発揮する行空間のジ

ャグリング・ファンクションも持っています。これらの機能

により、物理的、電気的に最適な配置結果を提供します。

さらに、GigaPlace は自動化された密度スクリーン技術を用

いて、フロアプランに起因する混雑度の高いエリアに密度ス

クリーンを自動的に追加することによって、集積度を解決す

るプロセスを簡素化します。 このアルゴリズムはフロアプラ

ン、トラフィック・パターン、集積度マップを解析し、ナロ

ーチャネル、ノッチ、マクロの境界などの混雑しているエリ

アからスタンダード・セルを離します。このことにより、

ご自身で密度スクリーンを追加することなく混雑を回避する

ことができます。

先進的なタイミング・ドリブン、パワー・ドリブン

の最適化 Innovus Implementation System が持つ配線を考慮した

最適化機能の特徴:

タイミング・クリティカル・ネットを特定

密度トラッキング・インフラにより、上位層の配線密

度を確認

それらの情報を元に、タイミング・クリティカル・

ネットのタイミングを改善するために上位層をアサイ

ンし、再バッファリングを実施

図1: ピンの密度とピンアクセスを考慮する GigaPlaceエンジン

Page 3: Innovus Implementation System - Cadence...Innovus Implementation System これらの機能によって、全体の配線前の最適化フロー実行時 にタイミング・クリティカル・ネットに対し、優先的なレイ

Innovus Implementation System

www.cadence.com

これらの機能によって、全体の配線前の最適化フロー実行時

にタイミング・クリティカル・ネットに対し、優先的なレイ

ヤー・アサインメントを行います。これらのアサインメント

はシステムの次世代大規模分散並列処理グローバルラウティ

ングエンジンに受け渡されますので、最終的な配線は正確な

レイヤー・アサインメントになります。

最適化エンジンは、最適なパフォーマンスを実現すると同時

に動作時の消費電力とリーク電流の削減を支援するシステム

を持ち、指定されたライブラリから低消費電力を考慮した変

換を利用して、使用可能なオプションを通じてタイミングに

影響を及ぼすことなく消費電力を削減します。このことによ

り、リーク電流や内部電流、スイッチング電流を全体的に最

小化します。

また、このエンジンは一般的なベクター・フォーマット (VCD,

TCF, SAF, FSDB, SAIF)に対応しています。もし、スイッチ

ング・アクティビティデータが使用できなければ、エンジン

は確率伝播を採用します。このようにして、パフォーマンス

あるいはチップ面積に関して妥協することのない、SoC の最

適な低消費電力ソリューションを提供します。

真のマルチスレッディングによるコンカレント・

クロック最適化 Innovus Implementation System は、真の高性能マルチス

レッディング、ユースフル・スキューおよびフロー・インテ

グレーションを備えた次世代のコンカレント・クロック最適

化エンジンを搭載しています。このエンジンは、伝播クロッ

クモデルに直接基づいた CTS(クロックツリー合成)、同時ク

ロック・ビルディング、論理遅延の最適化と物理的な最適化

を統合しています。最適化の決定すべては、伝播された実ク

ロックとクロックゲートに基づいており、インタークロッ

ク・パス、OCV(オンチップばらつき)の低減から構成されま

す。

インプリメンテーション・システムに搭載された新しい

FlexH 機能により、ソフトとハードの制約を両立させ、 極力

H-tree に近づけたトポロジーの構造を提供します。この機能

によって、実際の SoC 設計環境に対する H-tree アプローチ

がより身近なものになります。FlexH 機能がない場合、設計

者は通常、メッシュや自作ツリー等のアプローチをとります

が、パワーレールやブロッケージとのコンフリクト等、構造

的な制限があり、最適化に大きな労力を伴います。しかし、

FlexH は先進的なヒューリスティック・サーチアルゴリズム

を採用していますので、何百万通りものツリー構造を探索し、

ブロッケージの回避とパワーレールのベストな着地点を見つ

けます。このアルゴリズムは、パーティション、モジュール、

パワードメインの制約に従い、インサーション・ディレイ、

パワー、スキューを最適化します。

配線およびインターコネクト最適化エンジン Innovus Implementation System は、実績ある配線および

インターコネクト最適化エンジンを搭載していますので、タ

イミング、エリア、パワー、シグナル・インテグリティ、製

造目標における配線の収束を容易にします。このエンジンは、

大規模分散並列処理アーキテクチャーにより、フロー全体の

タイミングの相関性、確定的マルチスレッディング、フレキ

シブルな 2D/3D 混雑度モードを提供します。

また、Early GlobalRoute 機能により、設計初期段階からデ

ザイン・クロージャーを予測可能にするとともに、さらに

TNS と WNS を改善します。

配置およびインターコネクト最適化エンジンは次のような機

能も持ちます:

詳細配線実行前にシグナル・インテグリティの問題を

検出/修正

配線前と配線後のタイミングジャンプを回避

ネットリストおよびセル配置を変更可能

高速化による TAT の削減 Innovus Implementation System は、フロー全体の大規模

分散並列処理アーキテクチャーをはじめ、様々な機能を用い

て、デジタルデザインの TAT を加速します。マルチスレッド

図 2: クロック、データの最適化とクロックツリー・デバッガーの同時実行

Page 4: Innovus Implementation System - Cadence...Innovus Implementation System これらの機能によって、全体の配線前の最適化フロー実行時 にタイミング・クリティカル・ネットに対し、優先的なレイ

Innovus Implementation System

www.cadence.com

タスクを複数の CPU 上で実行することを可能にするこのア

ーキテクチャーは、業界標準の 8 CPU から 16 CPU ハード

ウェアでクラス最高の TAT を実現できるよう設計されてい

ます。さらに、大規模デザインにおいては、CPU の数を殖や

すことも可能です。

先進的なタイミング・ドリブン、パワー・ドリブン最適化エ

ンジンでは、マルチスレッドで MMMC タイミング最適化が

可能です。MMMC のビュー数 (シナリオ数)が増えるにつれ

て、このエンジンはサブリニアの高速化を実現します。

配線エンジンは、より大規模なデザインにおいて 100 以上の

CPU を使っても効率よく配線処理を行えるように設計され

ています。この処理スピードを背景に、配線エンジンは、タ

イミング、エリア、パワー、製造性、歩留りの効果に基づい

てインターコネクト・トポロジーの評価と最適化を同時に行

います。

「Correct-by-Construction(正しさの並行検証による系統

的な開発方法)」により、20nm 以降の先端プロセスノードに

おいて潜在的なダブルパターニングのコンフリクトをオンザ

フライで解決し、初回の DRC でダブルパターニングエラー

を修正し、よりエリア効率の良い配線トポロジーを作成する

ことが可能です。

このエンジンは、確定的マルチスレッディングバックプレー

ンを備えていますので、フロー全体のタイミングの相関性や

フレキシブルな 2D/3D 混雑度モードを提供します。

また、トラックベースの最適化アルゴリズムも搭載している

ため、詳細な配線実行前にシグナル・インテグリティの問題

を解決し、配線前と配線後のタイミングジャンプを回避、そ

してより迅速なデザイン・クロージャーを可能にします。

共通のユーザー・インターフェース Innovus Implementation System は、ケイデンスの

Tempus™ static timing analysis, Quantus™ extraction,

Voltus™ power integrity technologies と統合された

環境を持っていますので、タイミング、寄生、シグナル・

インテグリティやパワー・インテグリティの問題をフィジ

カル・インプリメンテーションの早期段階で正確にモデリ

ングすることが可能です。これにより、電気的メトリクス

においてより迅速な設計の収束が可能になり、より速いデ

ザイン・クロージャーを実現します。

インプリメンテーション・システムは、Genus™ Synthesis、

Innovus Implementation System 、 Tempus Timing

Signoff Solution と共通のユーザー・インターフェ-スを持

ちます。これらのデジタルツールやサインオフツールで、共

通の統一されたコマンドを使用しインプリメンテーションや

検証が可能です。例えば、デザイン初期化プロセス、データ

ベースアクセス、コマンド、測定基準などが統一され簡素化

されています。さらに、共通化された環境下でリファレンス ・

フローの実行、定義、展開が可能です。

これら、更新された共通のユーザー・インターフェースやリ

ファレンス・フローにより、中核となるインプリメンテーシ

ョンとサインオフプロダクトに、より使いやすいインターフ

ェイスを提供し、生産性が向上します。設計者は常にロバス

トな RTL からサインオフのレポーティングとマネジメント

機能とカスタマイズ可能な環境を利用可能です。

図 3: layoutの schematic viewerとのクロスプロービング

販売代理店 イノテック株式会社 IC ソリューション本部

〒222-8580 神奈川県横浜市港北区新横浜 3-17-6

TEL.(045)474-2290,2291,2293(営) FAX.(045)474-2395

URL http://www.innotech.co.jp/

日本ケイデンス・デザイン・システムズ社

本社/〒222-0033 神奈川県横浜市港北区新横浜 2-100-45

営業本部 TEL.(045)475-8410 FAX.(045)475-8415

URL https://www.cadence.com/jp

© 2017 Cadence Design Systems, Inc. All rights reserved worldwide.CadenceおよびCadenceロゴ は、Cadence Design Systems, Inc.の登録商標です。その他記載され

ている製品名および会社名は、各社の商標または登録商標です。 * 掲載の内容は、2017年6月現在のものです。